News Leaks zu AMD Zen 5/6: IPC, Kerne, Release und mehr [Gerücht]

Unbenannt.png

Nimm die teure blaue CPU und glaub das du mit Wasser die 600 Watt kühlen kannst um deine 2 FPS mehr zu rechtfertigen, oder nimm die Rote CPU und ich zeige dir das man auch mit 60 Watt spaß haben kann und du dein Portemonnaie und Netzteil nicht überlasten musst :D.
 
Zuletzt bearbeitet:
Das ist Quatsch. Sie bauen andere und haben andere Schwerpunkte - aber "fortschrittlicher" ist das nicht. AMD hat früher gestapelt (3D-Cache), Intel hat das überlegene Packaging (Foveros) und die sehr wahrscheinlich bessere Fertigung (RibbonFET+PowerVIA in 2nm ab 2024) verglichen mit TSMC.

Deswegen glaube ich auch nicht, dass da irgendwas wegen kommerzieller DInge zurückgehalten wird - denn ZEN5 muss Herbst 24 gegen ArrowLake antreten, eine neue Architektur mit sicherlich höherer IPC, die in einem 2nm Verfahren kommt. Da würde ich mehr erwarten als +15% Performance über Raptorlake - und der ist heute schon schneller als ZEN4 (ca. gleiche IPC aber mehr Takt), wenn auch natürlich erkauft über massive Leistungsaufnahme.

Spannend bleibts auf jeden Fall, anhand dem aktuellen Stand würde ich aber beim Showdown ZEN5/ARWL Intel vorne sehen. Sowohl bei der Performance als auch beim (Last-)Verbrauch.
Im Prinzip basieren doch sowohl Intel wie auch AMD auf X86-64 in der neuesten Ausrichtung! Und genau gleich können sie wegen dem Patentrecht sowieso nicht sein! Und somit ist auch für AMD der 4004 ein entfernter Verwandter in Sachen CPU! Und bei 20 A hat man soweit ich gehört habe Probleme, die Leckströme in Grenzen zu halten, da das quasi auf Atomebene stattfindet! Oder sehe ich da was falsch?
 
Das ist Quatsch. Sie bauen andere und haben andere Schwerpunkte - aber "fortschrittlicher" ist das nicht. AMD hat früher gestapelt (3D-Cache), Intel hat das überlegene Packaging (Foveros) und die sehr wahrscheinlich bessere Fertigung (RibbonFET+PowerVIA in 2nm ab 2024) verglichen mit TSMC.
Wir leben nicht im Konjunktiv. Noch hat Intel davon gar nichts aufm Markt in nennenswerten Stückzahlen. Insofern hat Thomas völlig Recht. Im Moment baut AMD die deutlich fortschrittlicheren CPUs als Intel. Effizientere Architektur, bessere Fertigung, 3D-Cache, besser skalierbar aufgrund von Chiplets. Und dass Intel ein überlegenes Packaging haben soll, lassen wir mal schön dort, wo es hingehört. Im Reich der Fantasie. Intel soll erst mal liefern, dann sehen wir weiter. Die Entwicklung bei AMD und TSMC steht schliesslich auch nicht still. Aber lustig, dass du schon was von 2nm in 2024 bei Intel wissen willst. Stand Ende Q3 2023 hat Intel noch nicht mal "Intel 4" Produkte am Start. Und danach kommt erst noch "Intel 3".

Hmmm prinzipiell haste da schon Recht (das große "IPC-Projekt" von Intel der "Royal Cores" soll ja auch erst später kommen), aber von Arrow wird aktuell schon eine ähnliche IPC-Steigerung erwartet wie von ZEN4 auf 5.
Nicht wenn man Intels eigenen Angaben Glauben schenkt. Da zeigen die bisherigen Leaks für 1T weniger als 5% IPC Zuwachs im Vergleich zu Raptor Lake. Arrow Lake scheint eher bei der iGPU deutlicher zuzulegen. Für Zen 5 hingegen würde ich mehr IPC Zuwachs als bei Zen 4 erwarten, also 15%+. Ich persönlich halte Verbesserungen wie bei Zen 3 für möglich, im Bereich von etwa 20%.
 
Aber lustig, dass du schon was von 2nm in 2024 bei Intel wissen willst. Stand Ende Q3 2023 hat Intel noch nicht mal "Intel 4" Produkte am Start. Und danach kommt erst noch "Intel 3".
Unsinn, nach "Intel 7" kommt "20A", es wird meines Wissens nach, keine Consumer CPU Produkte mit "Intel 3" geben und auch keine Desktop Chips mit "Intel 4".
Arrow Lake soll Ende 2024 kommen und das in 2nm, es ist völlig richtig was er schreibt (Unter vorbehalt das sich offizielle Pläne nicht ändern).

Im Moment baut AMD die deutlich fortschrittlicheren CPUs als Intel
Stimm ich zu, die sind auf jeden Fall momentan Überlegen, wobei AMD garnix "baut". AMDs momentane Überlegenheit basiert sehr sehr Stark auf TSMC's Überlegenheit.

Nicht wenn man Intels eigenen Angaben Glauben schenkt. Da zeigen die bisherigen Leaks für 1T weniger als 5% IPC Zuwachs im Vergleich zu Raptor Lake.
Redest du vom RPL refresh? Incredible bezieht sich auf Arrow Lake, also 15. Gen nicht 14. Gen, ansonsten kannst du ja gerne mal die Quelle von Intel selbst teilen, wo sie bestätigen, dass Arrow Lake nur 5% mehr IPC hat. Des Weiteren, was ist das für ein Wirrer Satz, "Intels eigene Angaben" und dann direkt "Bisherige Leaks", widerspricht sich das nicht?

Für Zen 5 hingegen würde ich mehr IPC Zuwachs als bei Zen 4 erwarten, also 15%+. Ich persönlich halte Verbesserungen wie bei Zen 3 für möglich, im Bereich von etwa 20%.
Du wirfst ihm vor die Glaskugel zu benutzen, und redest dann selbst von unbestätigten Zahlen, die sich nichtmal mit dem neusten Leak decken. Comedy Pur.
 
AMD baut die fortschrittlicheren CPU´s als Intel. Im Moment gibt es keinen wirklichen Konkurrenzdruck. Die wären aus kommerzieller Sicht ziemlich blöd eine CPU auf den Markt zu werfen, die 20-30% mehr IPC Leistung hat. Die sparen sich nun die Entwicklungskosten und verdienen sich durch Refresh Produkte eine goldene Nase.

Die CPUs werden jahrelang im Voraus geplant. Wenn wir Zen5 noch dieses Jahr zu sehen bekommen sollten, dann wurde der Grundstein wohl irgendwann um 2017 gelegt. Damals hatte Intel noch die Oberhand und niemand konnte damals wissen, was für Produkte Intel 6-7 Jahre später auf den Markt werfen wird, da die damals auch erst den Grundstein für ihre Produkte gelegt haben.

Von daher ist die These Quatsch. Wenn AMD zuviel Leistung hätte, dann würden sie es wohl so machen, wie Intel zwischen 2012 und 2017. TDP gleich lassen oder senken, die Kernzahl nicht erhöhen und einfach nur absahnen.

Wir werden in den nächsten Jahren nur geringe Steigerungen im Bereich von 10% sehen.

Das hat man von Raptorlake auch gesagt und dann war der i9 13900K in Anno 1800 ganze 27% schneller, als der 5800X3D, bzw. 38% schneller, als der 12900K.

Klar ist Raptor Lake im Oberfeld etwas schneller, aber Intel ist im Technologierückstand. Hauptsächlich durch die Fertigung.

Nein, das ist eine Designphilosophie. Die kleinen Kerne haben kein AVX 512 und das OS kann nicht damit umgehen, also deaktiviert man es.

Bei der Zahl der Kerne: das wurde eben lange vorher festgelegt, vermutlich irgendwann um 2016. Damals war noch nicht Ryzen auf dem Weg und von 16 Kernen, für Normalos, hat auch kaum einer gesprochen. Rückblickend wäre es natürlich besser gewesen, sie hätten mit 24 statt 16E Kernen geplant.

Die Nachfrage im Komplett PC Markt ist in vielen Bereichen bei AMD größer.

Nein, AMD hat noch immer kaum einen Fuß in der Tür, schau die einfach den üblichen Elektromarkt an. Da dominiert Intel und zwar mit weitem Abstand.

Wer will schon eine Intel CPU mit 220 Watt Leistung, wenn eine 65 Watt CPU von AMD dasselbe vollbringt?

In Komplett PCs sind die Dinger auf 125W gedrosselt und der Großteil weiß garnicht, was seine CPU so verbraucht.

In dem Sinne ist AMD konkurrenzlos. Das weiß das Management auch und deswegen spart AMD an Entwicklungskosten und verdient sich mit Refresh Modellen eine goldene Nase.

Soso, da weiß das Management die Sache, aber wo sind denn die Refresh CPUs? Bisher war nichts zu sehen und ein 7950XT ist auch nicht angekündigt.

AMD ist seit Zen2 im Momentum.

Nein, der i9 13900K war die beste Gaming CPU und auch dazwischen hatte Intel immer mal wieder die Gamingkrone auf, z.B. mit dem i9 11900K.

Bei einigen setzt eine gewisse Vergesslichkeit ein, dabei ist es garnicht so lange her. Erst mit dem 7950X3D konnte AMD die Gamingkrone wieder für sich beanspruchen.

Die wissen um Ihre Stärken und deswegen gibt es nur Refresh CPU.

Wie gesagt: sowas wird lange im Voraus geplant. Für deinen Plan hätte AMD kurzfristig die für Zen 5 gebuchten Kapazitäten bei TSMC stornieren müssen (Intel und Nvidia hätten sich extrem gefreut) und dann massenhaft zusätzliche Kapazitäten, im bisherigen Prozess buchen müssen, die sich aber wohl nicht auftreiben lassen würden.

Außerdem hätte man die komplette Entwicklung von Zen 5 in die Mülltonne treten können.

Dein Plan geht vorne und hinten nicht auf. Es ist nicht so einfach, wie du dir das vorstellst.

Die konsolidieren sich nun und nutzen ihren technischen Vorsprung zur Kapitalauffüllung. Die Leaks gehen ja auch in diese Richtung.

Eigentlich sagen die Leaks das genaue Gegenteil, alle gehen von Zen 5 aus. Keiner hat bisher einen Zen 4 Refresh ernsthaft ins Spiel gebracht.
 
[...] AMD hat früher gestapelt (3D-Cache) [...]
Genaugenommen haben sie das nicht mal. Intel hatte bereits Ende 2019 Lakefield vorgestellt und Mitte 2020 in den Markt gebracht. War zwar nur ein "Testballon" (u.a. auch für die Hybrid-Architektur), aber schon deutlich fortschrittlicher als das einfache stacken eines Cache-Dies. Das in weiterem Umfang zu nutzen war jedoch zu der Zeit schlicht nicht zweckdienlich, da man immer noch auf der 10nm-Fertigung (jetzt Intel 7 genannt) in der Volumenproduktion festhing.
Zudem, wäre AMD bei Zen3 von Alder Lake nicht derart unter Druck gesetzt worden, hätte man wohl keine Consumer-CPU mit V-Cache in dieser Generation zu sehen bekommen. Das war eher eine Notlösung bzw. ein FallBack-Plan, da der Cache primär für Milan-X und HPC entwickelt wurde.
Zum Glück bietet mehr Cache bei Games, die vielfach über kleine, gleiche Datasets iterieren einen Vorteil. Wäre dem nicht so, wäre die Cache-Vergrößerung gar komplett irrelevant für Consumer-Workloads, da es nur wenige/spezielle weitere Workloads gibt, die von dem profitieren können und in der Verwendungsart, wie sie nun von AMD bisher genutzt wird, senkt der Cache gar leicht den Durchsatz aller übrigen Workloads durch das niedrigere thermische Limit.

[...] wobei hier muss man sagen: das ist der Prozess den Intel früher "5nm" nannte [zu Intel 20A] [...]
Wie Intel den Prozess früher nannte spielt keine Rolle, der könnte auch "Frodo" heißen. Und bspw. diese damaligen "5nm" wurde nie konkret spezifiziert sondern immer nur als Nachfolger der 7nm vage in den Raum gestellt und stammten aus einer Zeit, als Intel sich noch nicht gezwungen sah seine Prozessnamen mit anderen Foundries zu vergleichen und so setzten sie ihre eigene Bezeichnung eher sinnvoll fort (keine übermäßigen Sprünge bei den Zahlenwerten), während es bei TSMC und Samsung in der Zwischenzeit im Zuge von deren Konkurrenzkampf mehrere Umbenennungen gab um der Konkurrenz "voraus" zu sein *) oder sich ihr wenigstens anzugleichen, so bspw. TSMCs erster 12 nm-Node, der in der Entwicklung immer als 4th-Gen-16 nm-Node benannt wurde, aber dann plötzlich bei Release zu einem 12 nm "mutierte", damit man mit Samsung gleichziehen konnte oder bspw. Samsungs 8 nm, bei denen es sich jedoch lediglich um eine Weiterentwickung ihres 10 nm-Nodes handelt.
Intel hat seine Prozessnamen erst mit dem sich abzeichnenden Foundry-Geschäft erneut angepasst, da dann mit Blick auf die Kundschaft eine namenstechnische Vergleichbarkeit angeraten war. Und so wurde bspw. aus Intels P1276 alias 7nm schließlich Intel 4 und Intel 3 mit letzterem als etwas wie nach damaligem Schema 7nm+.
Und die im Namen verwendeten Ziffern dürften auch durchaus sinnvoll bzgl. einer Vergleichbarkeit gewählt sein, da Fachleute aus der Halbleiterindustrie schon früh erklärten, dass bspw. Intels 7nm (jetzt Intel 4/3 genannt) über eine deutlich höhere Logikdichte verfügen als bspw. TSMCs N5 und der ursprüngliche Prozess bereits eher zwischen dem N5 und N3 liegt. TSMC brachte dann später den N4 (und weitere Ableger) mit kleineren Verbesserungen und so dürfte das bzgl. mancher Prozesscharakteristika durchaus passen und zu Intel 3 erklärte man erst kürzlich um das Innovation Event erneut, dass man sich damit sehr konkurrenzfähig i. V. z. TSMCs 3 nm sieht, wobei das "konkurrenzfähig" nicht bedeuten muss, dass man das TSMC-Produkt in allen Aspekten schlägt bzw. genaugenommen muss man es nicht mal schlagen, sondern nur ausreichend nahe herankommen.
Ergänzend, die Namensgebnug von Intel bzgl. der groben Vergleichbarkeit dürfte einmal mehr halbwegs passend gewählt sein, da alle Foundry-Interessenten, die sich mit einem NDA die Prozessdetails und -Roadmap im Detail zeigen lassen, sowie die, die sich gar Testchips anfertigen lassen **), per se alle Parameter vorgelegt bekommen und es wäre schon arg ungeschickt, wenn man den potentiellen Kunden mit Versprechen X durch die Tür in den Verkaufsraum lockt, sich dann aber bei näherer Betrachtung herausstellt, dass das Produkt nicht mal ansatzweise der Bezeichnung entspricht. ;-)

*) Bspw. TSMCs damaliger CTO erklärte in einem abschließenden Interview, nachdem er in Rente gegangen ist, dass man selbst mit ihren 10nm nicht so wirklich mit Intels damaligen 14nm konkurrieren konnte und sich ordentlich abmühte Intel die Führung abzunehmen ... und Intel trug am Ende selbst viel dazu bei, durch die massiven Probleme beim deren 10nm-Node.

**) Beispielsweise Intel 18A-Testchips im Zuge der Prozessententwicklung und für Foundry-Interessenten und -Kunden fertigt man bereits seit Ende 2022.

[...] die P-Kerne von Meteor Lake sind wahrscheinlich dieselbe Architektur wie Alder/Raptor Lake, weil man sich bei so vielen Produktionsänderungen gleichzeitig keine neue Architektur antun wird. [...]
Sind sie nicht, MTL nutzt tatsächlich weiterentwickelte Architekturen für P- und E-Kerne. Der Gerüchteküchte zufolge hat Intel seine eigenen Designziele mit der Überarbeitung aber nicht ganz erreicht und so werden die Redwood Cove-Kerne, die auch im Server Grantite Rapids SP genutzt werde (etwa Mitte 2024 in Intel 3) noch einmal eine signifikante Überarbeitung erfahren und Intel spricht hier inoffiziell von Redwood Cove+.
Im Client-Segment macht man sich dagegen keine allzugroße Mehrarbeit und wird direkt zum nächsten Produkt übergehen, da MTL und Intel 4 eh nur einen kurzfristigen Übergang darstellen. Arrow Lake wird gar eine deutlich größere Kernüberarbeitung darstellen und soll die erste Iteration des sogenannten Royal Core-Projekts darstellen. ARL sagt man einen bis etwa 20 % höheren Durchsatz nach und das i. V. z. MTL und nicht etwa dem älteren RPL(-R). Mal abwarten. Intel scheint seine Timelines nun aber unbedingt einhalten zu wollen, denn ARL sagt man möglicherweise deaktiviertes SMT auf den P-Kernen nach, möglicherweise aufgrund eines Bugs, den man nicht mehr zeitnah fixen will oder kann. *)
Für ARL, in Verbindung mit TSMCs N3E für das Compute Tile auf dem Desktop bzw. Intel 20A (in Verbindung mit PowerVia/BSPD) auf der mobilen Plattform, wird man auf jeden Fall sehr gut aufgestellt sein und AMD wird erstmals zeigen müssen, wie sie sich ohne einen nennswerten fertigungstechnischen Vorteil präsentieren können.
Dagegen im 2HJ25, also gegen die NextGen Panther Lake wird man höchstwahrscheinlich bereits leicht ins Hintertreffen geraten, da man Intels 18A/PowerVia nichts entgegenzustellen hat, da TSMC erst Ende 2025 bzw. 2026 so weit sein wird, wobei zudem erst kürzlich von Problemen bei TSMCs 2 nm-Fertigung zu lesen war (man aber erst mal abwarten muss, ob TSMC die von Zulieferen beobachteten Verzögerungen nicht noch auffangen kann um zumindest den regulären Projektplan einhalten zu können).

*) Möglicherweise versuchte man bei ARL auch erste Vorläufer zur Transformation hin zu Rentable Units zu implementieren und dabei ging möglicherweise was schief und so strich man SMT kurzerhand als Fehlerbehebung?

(1) Und ich bin mir auch ziemlich sicher, dass Arrow Lake auch nur eine leicht weiterentwickelte Architektur ist und keine neue, denn auch hier ist in der Fertigung wieder ein großer, wichtiger Schritt (2) und man wird nicht gleichzeitig eine neue Architektur einführen und einen komplett neuen Prozess (kleiner, neue Technologien (GAA "Ribbon FinFET" + Backside PowerDelivery "PowerVIA").
(3) Also vor Lunar und Panther Lake würde ich nicht auf größere Architekturänderungen hoffen und selbst da bin ich inzwischen nicht mehr sicher
(1) Doch, wie schon erklärt wird ARL tatsächlich ein recht großer Entwicklungsschritt sein. *) Darüber hinaus kann auch AMD mit dem Cache nicht mehr Punkten, da Intel, wenn sie wollen **), diesen auch anbieten kann und hier gar jetzt schon absehbar in einer deutlich besseren Implementation, die die Rechnenkerne bzgl. der Abwärme weniger beschränkt und voraussichtlich gleichzeitig auch noch deutlich eine i/tGPU beschleunigen kann.

*) Rein schon bzgl. der Kernarchitektur. Die Bereiche Packaging und Prozess kommen da noch zusätzlich obendrauf. ;-)

**) Ob sie das mit dem Cache (derzeit Adamantine genannt) tatsächlich werden, ist jedoch noch eine andere Frage, da Intel sich nicht als Gaming-Produkthersteller versteht und das aus Intels Perspektive nur ein kleiner Markt ist, genaugenommen AMD sich aber mittlerweile auch nicht mehr so sieht, sondern nur in den Zen-Anfangszeiten das Geld der Gamer und Enthusiasten nötig hatte, da man für den Markt allgemein und insbesondere die umsatzstarken OEMs aus diversen Gründen zu der Zeit noch nicht wirklich interessant war, also konnte man damals nur die Consumer-Basis adressieren. Mittlerweile ändert sich AMDs Ausrichtung auch hier zusehens und man darf abwarten, was das für Effekte auf die Klientel in diesem Forum hier haben wird.

(2) Doch, sie tun sie tatsächlich, d. h. die kombinieren größere Architekturumbauten mit modernsten Prozessen und modernstem Packaging. Wenn du willst, kannst du MTL als Pilot mit erstmalig großem Fertigungsvolumen verstehen.

(3) Lunar Lake wird bestenfalls ein minimal überarbeitetes ARL-Design verwenden. Hier geht es im Wesentlichen um die Paketierung, d. h. wenige Kerne (P und E, vielleicht 4+4 oder 2+4?) im modernsten Prozess mit modernstem Packaging für UltraPortable-Designs in der maximal 15W- und gar Sub-15W-Klasse.
Dagegen PTL (oder wie man dem im Intel-Jargon abkürzt) dürfte abermals ein deutlich größerer Entwicklungsschritt im 2HJ25 sein und die zweite Iteration in der Kerntransformation im Zuge des Royal Core-Projekts. Der ST-Durchsatz soll abermals beträchtlich zunehmen, da die Kerne hier nun noch weiter umgebaut werden, paarweise organisiert werden und das Rentable Units-Konzept hinzu kommt, d. h. einer der Kerne kann für maximalen Durchsatz Funktionseinheiten des zweiten Kerns für seine Zwecke heranziehen und so einen Workload noch schneller prozessieren. (SMT entfällt damit auf diesen neuen P-Kernen; Intel sieht das Konzept der Zukunft offenbar bei Rentable Units, weil es beim ST-Durchsatz deutlich mehr bringt und man MT mit vielen kleinen, platzsparenden E-Kernen leicht "erschlagen" kann.)

Ich bin hier mal ausnahmsweise pessimistisch: die vielen Fortschritte beim Prozess (von Intel 7 auf 20A) klingen auf dem Papier so toll, dass eigentlich allein deshalb schon deutlich mehr Leistung rausspringen müsste.
ABER:
20A ist der erste Versuch im neuen Prozess, eher konservativ und könnte wie der erste Versuch von 10nm noch deutlich hinter der erhofften Performance liegen. Oder es ist wie bei "Intel 4", dass noch einige High Density Libraries fehlen, bzw. die IO Fins und erst 18A, also die Weiterentwicklung mehr Performance zu tage bringt.
10nm hatte ja damals (Cannon Lake) das Problem, dass es zwar ein neuer Prozess war, aber die Vorteile noch nicht ankamen: höhere Density: ja, aber schlecht taktbar, hoher Energieverbrauch, schlechte Yields.
Sind wir mal nicht zuuu euphorisch. [...]
Eine Betrachtung von Intel 7 zu Intel 20A ist eher weniger zweckdienlich. Die Frage ist eher wie groß der Sprung von Intel 3 zu 20A werden wird, denn wenn man ARL/LNL gegen einen mobilen ADL oder die beste Zen3-APU aus der damaligen Zeit vergleicht, werden letztere sehr, sehr alt aussehen, weil da zu viele technologische Weiterenticklungen dazwischen liegen.
Intel wird sich bei Intel 20A sicherlich nicht "übernehmen", da wie Intel 4 auch, Intel 20A nur der Zwischen-/Entwicklungsschritt hin zum eigentlichen/"finalen" Produkt Intel 18A darstellt, für das sich auch so viele externe Kunden interessieren. Sollten hier bei 20A also nicht alle Designziele erreicht werden, wird Intel sich da nicht übermäßig aufhalten, sondern die Korrekturschritte direkt in 18A miteinfließen lassen.
Intel 20A wir sicherlich kein "Taktmonster", da es die erste Iteration eines komplett neuen Prozesses ist, ist aber auch vollkommen unnötig, da man diesen für mobile Produkte nutzen wird und somit hat man sich hier das Optimum herausgepickt, da man auch umfangreiche 3nm-Kapazitäten von TSMC hat und diese stattdessen für den Desktop nutzt. Intel 20A wird aber die Zen5-APUs, die (zumindest teilweise?) in 3 nm gefertigt werden (vermutlich wird es auch günstigere, neue APUs geben, die nur in 4nm gefertigt werden) jedoch absehbar schwer unter Druck setzen, da es nicht nur ein weiterverbesserter Prozess ist, sondern hier erstmals zusätzlich GAA-Transistoren zum Einsatz kommen und Backside Power Delivery, von Intel PowerVia genannt, Technologien, die TSMC erst Jahre später einführen wird. Wenn Intel seine Karten gut ausspielt, wird AMD bereits um 2024/25 herum keine nennenswerten Vorteile mehr ausspielen können und Ende 2025 mit der NextGen wird man dann bei AMD leicht ins Hintertreffen geraten, weil man übermäßig große architektonische Fortschritte brauchen würde um den fertigungstechnischen/paktetierungstechnischen Rückstand kompensieren zu können.
Gelsinger sprach in 2021 davon, dass man wieder "unquestioned leadership" anvisiere und um 2025 herum erreichen will. Das was Intel und TSMC schon seit längerem präsentieren deutet durchaus darauf hin, dass Intel das gelingen könnte, denn hierl ist man in den Bereichen Architektur, Fertigung und Paketierung auf einem anscheinen guten und vor allem planmäßigem Weg, TSMC liegt in letzteren beiden zurück *) und AMD kontrolliert effektiv nur ihre architektonische Seite voll und ganz. Insofern darf man davon ausgehen, dass Panther Lake im 2HJ25 und Diamond Rapids SP mindestens vollwertige Konkurrenzprodukte **) sein werden, wenn nicht gar überlegene.

*) In der Paketierunng heute schon, in der Prozesstechnik bereits im 2HJ24, spätestens gesichert in 2025 ... und hier muss sich TSMC selbst gegen Samsung wehement zur Wehr setzen, die mit großen Schritte aufholen und bspw. GAA schon in ihrem Produkt nutzen.

**) Beim Server Diamond Rapids SP darf man gar davon ausgehen, dass der grundlegend dem Zen6-basierten Server Überlegen sein wird. Wenn man die pessimistische Seite betrachten will vielleicht nur in geringerem Maße, da Intels Server schon immer deutlich funktionsreicher sind als AMDs Server, die hier nur schrittweise nachziehen, d. h. selbst bei einem groben Gleichstand würde Intel durch seine deutlich erweiterte Funktionalität punkten. Vermutlich dürften die massiven architektonischen Umbauten wie bspw. Rentable Units und AVX 10.2 sowie der deutliche (paketierungs-)technische Vorteil gar mehr ermöglichen ...

[AM6] Gerücht sagt 2026.
ja amd hat für am5 bis MIN. 2025 versprochen.aber englishe hardware kanäle reden über ryzen 9000er auch für am5. wenn man bedenkt dass erst 2024 ryzen 8000 kommt dann 2026 ryzen 9000 wird es mit am6 vielleicht 2027/2028
Vermutlich wird AM6 in 2026 kommen und man betreibt diese mit AM5 eine Zeit lang parallel. AMD kann es sich umsatztechnisch nicht leisten zu lange auf einer Plattform zu verbleiben. Das hatte man ja schon in 2019/20 aufzuheben versucht und sich lediglich dem drohenden Shitstorm gebeugt. Die "Langlebigkeit" bedeutet schlicht entgangener Umsatz. AMD machte das ja nicht als Altruist oder weil sie Gamer mögen, sondern schlicht weil sie dieses Differenzierungskriterium aus Vermarktungszwecken nötig hatten. Das war schlicht markttechnischer Druck, der sie dazu zwang/zwingt.
Mit Blick auf Zen6 (2025+) darf man davon ausgehen, dass der auch noch auf AM5 laufen wird, denn andernfalls hätte AMD seine Fanbase auf recht spitzfindische Art verar****, denn wenn lediglich die unmittelbare NextGen (Zen5) noch auf AM5 lauffähig wäre, wäre es schon arg zweifelhaft gewesen im Sinne des unmittelbar zuvor Praktizierten erneut die vermeintliche Langlebigkeit zu beschwören.
Andererseits ... mit weiter gestärkter Marktposition könnte AMD vielleicht bei einem erneuten Vorkommen der drohende Shitstorm dieses Mal egal sein, da das professionelle Marktsegment für sie wichtiger geworden ist ... man muss einfach mal abwarten, aber da absehbar wieder schwerere Fahrwasser auf sie zukommen, würde ich eher davon ausgehen, dass sie es sich nicht unbedingt jetzt schon mit dem Consumer-Markt verscherzen wollen und so würde ich vermuten, dass Zen6 durchaus auch noch auf AM5 erscheinen wird, d. h. jetzt eine AM5-Plattform zu kaufen, dürfte einem auch auf längere Sicht noch signifikante Upgradeoptionen offen halten.
 
Zuletzt bearbeitet:
Sind sie nicht, MTL nutzt tatsächlich weiterentwickelte Architekturen für P- und E-Kerne. Der Gerüchteküchte zufolge hat Intel seine eigenen Designziele mit der Überarbeitung aber nicht ganz erreicht und so werden die Redwood Cove-Kerne, die auch im Server Grantite Rapids SP genutzt werde (etwa Mitte 2024 in Intel 3) noch einmal eine signifikante Überarbeitung erfahren und Intel spricht hier inoffiziell von Redwood Cove+.
Laut Computerbase die nachgefragt haben sind es quasi dieselben.
Im Client-Segment macht man sich dagegen keine allzugroße Mehrarbeit und wird direkt zum nächsten Produkt übergehen, da MTL und Intel 4 eh nur einen kurzfristigen Übergang darstellen. Arrow Lake wird gar eine deutlich größere Kernüberarbeitung darstellen und soll die erste Iteration des sogenannten Royal Core-Projekts darstellen.
Hast du dafür irgendeine glaubwürdige Quelle? Ich bezweifle das ganz stark.

Zu deinem restlichen Post sage ich nur: hol ihn in 1 Jahr nochmal hervor wenn ARL da ist, du wirst sehen es bleibt bei kleinen Überarbeitungen an den P Cores. Und auch, dass SMT wegen eines Bugs deaktiviert sein soll bleibt ein kurzlebeiges Gerücht.
 
Lange nicht mehr so viel Geschwafel in einem Thread gelesen.
_____

Topic: Leak? Aha. ... Nun also der 2. Leak? Hm, aha ...
Also ich warte da lieber den PCGH-Test ab.
 
Laut Computerbase die nachgefragt haben sind es quasi dieselben.
Intel hat Redwood Cove eher mit einem (vergangenen) "Tick" verglichen also ist es nur eine kleinere Iteration und kein "Quantensprung", jedoch offensichtlich genug, um dem Kind einen neuen Namen zu geben. Beispielsweise das Cache-Subsystem ist überarbeitet worden und auch vom ThreadDirector weiß man schon, ein Deep Dive steht noch aus, da man sich auf dem Innovation Event eher auf das Gesamtdesign und Package konzentrierte, größere Überraschungen sollte man von einem Deep Dive sicherlich nicht erwarten. Nicht umsonst dürfte Intel zur Verwendung in Grantie Rapids SP inoffiziell bereits von zusätzlichen Verbesserungen an den Kernen gesprochen haben. ;-)

Hast du dafür irgendeine glaubwürdige Quelle? Ich bezweifle das ganz stark.
Hatte vorgestern ein längers Telefonat mit Pat ... du Scherzkeks ... natürlich nicht oder meinst du Intel schmiert mir seine Internas aufs Brot? Das wird schlicht durch das, was insgesamt dargeboten wird offensichtlich.
Beispielsweise Intel 4 als reiner Übergangsprozess könnte deutlicher nicht sein. Es wird einzig dieses eine Produkt in dem Prozess gefertigt, das zudem vergleichsweise kurzlebig ist und sowohl das Produkt als auch der Prozess werden zeitnah ersetzt *). Für Intel 4 hat man sich nicht einmal die Mühe gemacht eine HighDensity-Lib zu entwickeln. Man benötigte hier lediglich eine HighPerf-Lib für das Compute-Tile von MTL und das war's. Vielleicht wird man abseits des Mainstream noch ein AI-Produkt oder einen FPGA damit fertigen, möglicherweise wird es aber tatsächlich gar kein weiteres Produkt in Intel 4 geben, da die Kapazitäten noch recht beschränkt sein dürften und man eigentlich zu Intel 3 will, dass auch erst den Foundry-Kunden zur Verfügung gestellt werden soll, während man dies bei Intel 4 offiziell verneinte.
Intel 20A sieht bereits aus der Ferne diesem Szenario recht ähnlich, hier gar ein komplett neuer Prozess mit gänzlich neuer Transistorbauweise und neuem Schichtaufbau für PowerVia, was nach einem Piloten geradezu schreit und das werden hier wohl die ARL- & LNL-Mobil-CPUs werden. **) Zudem, auch hier hörte man im Zuge des Foundry-Business immer nur von Interessenten bzgl. Intel 18A, nie jedoch von 20A.
Weiterhin, "die 5 Nodes in 4 Jahren" kann sich Intel bzgl. der Aufteilung seiner Produktionsstätten auch gar nicht längerfristig leisten, weil das die Kapazitäten zu sehr zerstückeln würde. Hier wird man zeitnah konsolidieren und die Fertigungsstraßen mit den Zwischennodes alsbald auf den "finalen" Zielprozess weiterumrüsten.

*) Beispielsweise sowohl Granite Rapids als auch Sierra Forrest kommen im 1HJ24 bzw. um die Jahresmitte herum bereits in Intel 3.

**) Dass man für den Desktop auf TSMCs 3nm zurückgreift, vermutlich deren 2nd Gen N3E, könnte an Kapazitäten als auch an der Taktfreudigkeit liegen und da bedingt am Ende eines das andere, denn wenn man bspw. per se nicht zu viel in 20A investieren will, wird man hier auch die Amortisierung nicht unnötig hinauszögern sondern den Prozess für das nutzen, wofür er aus dem Stand heraus gut geeignet ist, also kleinere Mobile-CPUs, die bspw. keines so hohen Taktes bedürfen. Vermutlich wird der Rest dann hier ebenso mit der 2nd Gen in Form des 18A nachgezogen werden.

Zu deinem restlichen Post sage ich nur: hol ihn in 1 Jahr nochmal hervor wenn ARL da ist, du wirst sehen es bleibt bei kleinen Überarbeitungen an den P Cores. Und auch, dass SMT wegen eines Bugs deaktiviert sein soll bleibt ein kurzlebeiges Gerücht.
Der Vorschlag des Hervorholens/Validierens gilt für derartige Aussagen dieser Art immer bzw. ist immer angeraten, wobei hier jedoch immer zu unterscheiden ist zwischen dem absoluten Ausgang und dem was zu dem jeweiligen Zeitpunkt in der Vergangenheit ersichtlich und plausibel erkenn-/bewertbar war. ;-)

Zu den Leistungssteigerungen ... bisher sieht das, was die Gerüchteküche hier (seit vergleichsweise langer Zeit) von sich gibt recht konsistent und glaubhaft aus. Darüber hinaus, warum sollte Intel nicht einen Bereich um 15 - 20 % anvisieren können? Der Wert liegt gar in dem Rahmen den man Zen5 derzeit nachsagt, ist also nichts Ungewöhnliches und Intel als Marktführer wird sicherlich nicht über Nach sein Kerngeschäft verlernt haben. Dass die durch ihren Fertigungs-Faux Pas deutlich ausgebremst wurden ist hinreichend bekannt, bedeutet aber nicht, dass die in der Zwischenzeit alles andere haben links liegen lassen. Das sollte man spätestens an der jetzt doch recht rasanten Prozessentwicklung und dem Stand in der Packagingtechnologie erkennen können, in der sie quasi führend sind.
Zudem, könnten sie architektonisch nicht mithalten und würden die nächsten Jahre bspw. architektonisch immer nur kleine +4%-Schitte machen, würden sie alle ihre fertigungs- und packagingtechnischen Fortschritte und immensen Investionen in den Wind blasen, weil dann ein Konkurrent mit einer wirklich guten Architektur und etwas schlechterer Fertigung dennoch vollends konkurrenzfähig oder gar besser wäre. Würde bedeuten, dass dann das obere Management bei Intel komplett versagt hätte ... erscheint nicht allzu wahrscheinlich beim einem derartigen Konzern und erst recht nicht schon wieder (wobei, ob das "Wieder" unter Berücksichtigung der 10nm-Fertigung tatsächlich gilt oder wenn man interne Details kennen würde, der Hergang doch nachvollziehbar erklärbar und es eher kein "Wieder" wäre, wird man wohl erst in X Jahre nachlesen können in irgendeiner Biographie ;-))

Zum möglicherweise deaktivierten SMT in ARL ... ist selbstredend derzeit nur ein Gerücht, könnte aber durchaus zutreffend sein. *) Bugs kommen in unterschiedlicher Schwere immer wieder vor, ist vollkommen normal und die Errata der CPUs, GPUs, PCHs sind voll davon. Navi31 wurde zuletzt mit einem handfesten Hardwarebug released, der sich ebenso schon recht deutlich zuvor im Linux-Treibercode ankündigte. Der Entwickler/Hersteller muss dann bewerten ob es sich lohnt zu versuchen das Produkt zu fixen und Mehrkosten und zeitlichen Verzug in Kauf zu nehmen oder er veröffentlich halt dennoch. Ist am Ende schlicht eine Frage der Wirtschaftlichkeit und aktuell sind die Releasezysklen so kurz, dass sich da nennenswerte zeitliche Verzögerungen bereits kaum rechtfertigen lassen, von den zusätzlichen Ressourcenaufwendungen ganz zu schweigen.

*) Denkbar wäre auch, dass die/der Leaker das missverstanden haben und ARL als Vorstufe bereits SMT grundsätzlich "herausdesigned" hat und man kann stattdessen etwas platzsparendere P-Core implementieren? Einfach mal abwarten, ist am Ende auch erst mal so ziemlich mit das Unwichtigste und eher nur eine Randnotiz. Am Ende zählt, was die CPU tatsächlich abzuliefern vermag.
 
Zuletzt bearbeitet:
die Prozesse sind seit Jahren bekannt, selbst die Entscheidung nach Intel4 ohne IO Fins und HighDensity Libraries flott I3 zu bringen usw usf.
Ich hätte gerne eine Quelle zum großen Umbau bei ARL. Auch wenns nur ein Gerücht wäre, würd mich das interessieren.
Denn ich kenne nur die anderslautende Variante, die für mich aus oben genannten Gründen Sinn macht und mir am plausibelsten erschien. Ich lass mich aber gern vom Gegenteil überzeugen, ich zweifle aber qus gutem Grund an der Variante und den kann ich dir dann in ca 1 Jahr nennen, oder mich dann entschuldigen.
Deiner Info nach kommt mit ARL schon ein großer Umbau, ich "glaube" nur an eine Optimierung, sind wir mal gespannt
 
aha ok wusste ich es doch mit den 15 % ,aber gut ich erwarte auch keine so hohen Sprünge mehr.Klar das wie viel es genau sind,kann man nur raten,weil ist ja auch nur ein Gerücht.
Bei Intel könnte es auch nur ein Gerücht sein,denke mal aber zu hohe Erwartungen habe ich auch bei Intel ebenso nicht.15-20 % sehe ich allerdings eher als realistisch an.
Damit ich an meinem Ziel bin das Ende der Leistungssteigerung brauche ich aber 50 % mehrleistung zum Ryzen 9 7950x oder zum i9 13900k mit 5,7 ghz Allcore.
Nun ja ich warte ja schon drauf.ALso Zen 5 wird für mich eher wohl ein zwischenschritt sein.Wäre auch so bei Arrow Lake der Fall,weil die Leistungssteigerung zu wenig ist.
Das Ziel ist also noch mehr CPU Leistung,als da als nächstes kommen wird.
Ich werde also nur die Plattform erneuern.Später wenn ich Zen 5 habe,wechsel ich dann zu Zen 6.Ob da dann Arrowlake dann deutlich mehr CPU Leistung haben wird,da habe ich so meine Zweifel.Dürften so rum fast die selbe Leistung haben.
 
Beispielsweise u.A. MLiD ... sehe gerade, ich habe hier i. V. z. ihm gar schon tiefgestapelt, da er im Juni von 30 - 40 % mehr ST-Leistung i. V. z. RPL spricht, also sollte man von ihm konservativ den kleineren Wert mitnehmen (oder gar noch einen Puffer abziehen).
Zur MT-Leistung erklärt er einen bis zu etwa 40 % Zugewinn ggü. einem gleichwertigen MTL-Design (6+8) bei gleichem Verbrauch, d. h. selbst wenn Intel hier die Designziele nicht ganz erreicht, bleibt dennoch zweifellos ein großer Sprung bei der Gen übrig, entsprechend darf man vermuten, dass ARL wahrscheinlich keine Probleme haben wird mit Zen5 konkurrieren zu können und möglicherweise könnte/wird man selbst den V-Cache, den sich AMD für das Jahresende aufheben wird, aushebeln können, aber das bleibt natürlich erst mal abzuwarten ... und wie immer, sollte es so kommen, wird das bessere P/L-Verhältnis natürlich wieder AMD haben (müssen).

Größere Umbauten ... natürlich, aber das pfeifen die Spatzen ja bereits von den Dächern. AVX wird deutlich reorganisiert und soll damit auch zu signifikanten Performancezugewinnen beitragen und das kommt gar schon direkt und offiziell von Intel selbst, Rentable Units ist viel zu konkrtet, als dass es nur ein Fantesieobjekt sein könnte, 32 Bit wird man aus der Architektur rausarbeiten (möglw. in 2025/26), dass Intel so etwas wie einen erweiterten Cache evaluiert, kann man selbst ohne jedwede Berichterstattung gesichert annehmen, da das ein no-brainer ist und deren R&D weitaus umfangreicher als das von AMD ist (Jim Keller hat da mal eine Randnotiz zu fallen lassen, wie viele Design da so parallel in der Entwicklung sind, das ist schon immens, insbesondere wenn man den Aufwand für ein solch komplexes, einzelnes Design berücksichtigt) und ARL soll die erste Iteration in dem sogenannten Royal Core-Projekt sein, dessen primäres Ziel eben genau ein umfangreicher Umbau der x86-Architektur ist. ;-)
 
Zuletzt bearbeitet:
Bei Intel könnte es auch nur ein Gerücht sein,denke mal aber zu hohe Erwartungen habe ich auch bei Intel ebenso nicht.15-20 % sehe ich allerdings eher als realistisch an.
Du vergisst dabei was entscheidenes, dass Intel dann auch mit nen L4 Cache kommt, was ähnlich zum X3D sein wird.

Ich gehe da also durchaus von mehr als die 20% aus.
 
Zurück