Nvidia Ampere: Neue Gerüchte um 24/20/10 GiByte Speicher für GA102

Ein guter Indikator innerhalb eines IHVs sind sie auf jeden Fall.Fakt ist nun mal, dass NVIDIA mit dem deutlich schlechteren 12nm Prozess auf einem besseren Effizienzniveau als AMD mit 7nm sind. Der Unterschied mag klein sein, aber er ist immer noch vorhanden. NVIDIA wird hier mit der nächsten Generation einen größeren Sprung machen, egal ob TSMC oder Samsung, AMD tendenziell eher nicht.

Jou klar die kolportierten 350W bei der 3080TI/3090 sind ja sowas von effizent :hail:.
 
Geforce RTX 3090 (3080 Ti): GA102-300 (~5.248 Shader) mit 20 GiB und 320 Bit
Geforce RTX 3080: GA102-200 (~4.652 Shader) mit 10 GiB und 320 Bit

Wären für mich als Besitzer einer 2080Ti beiden schon mal unabhängig von der CPU-Leistung uninteressant. Beide haben eine geringere Speicheranbindung 320 < 352 Bit und die 3080 hat dazu sogar noch weniger Speicher. Bliebe als Upgrade nur die RTXTitan übrig. Und ich mache gerne mal was unvernünftiges mit, aber die wird mir definitiv zu teuer...
 
Jou klar die kolportierten 350W bei der 3080TI/3090 sind ja sowas von effizent
hail.gif
.

Die "kolportierten 350 W" sind TGP bzw. TBP nicht TDP. Und die dürften für das größte Modell mit 24 GiB OC-GDDR6 gelten. Der Großteil ist hier auf Wandlerverluste und den Speicher zurückzuführen. Rechnet man das grob zurück kommt man zu um die 216 W TDP für den Vollausbau des GA102. (Zum Vergleich, der TU102 in Form der RTX 2080 Ti kommt etwa auf 196 W TDP.)
Unterm Strich eine überschaubare Steigerung wenn man berücksichtigt, dass der Chip beträchtlich größer wird.
Der TU102 hat 18,6 Mrd. Transistoren. Den GA102 kann man ohne den Prozess und Details zum Aufbau nur schwer abschätzen, man kann jedoch vermuten und versuchen abzuleiten, dass der bzgl. der Transistoranzahl bis zu doppelt so groß ausfallen kann.

(Wollte man den GA102 noch etwas schlechter rechnen, könnte man annehmen, dass man auf dem teuren PCB etwas effizientere Wandler verwendet und käme zu vielleicht 223 W TDP, was immer noch einen sehr guten Wert darstellt, wenn man bedenkt, dass der Chip beträchtlich größer wird, im Worst Case gar dopelt so groß.)

[...] Beide haben eine geringere Speicheranbindung 320 < 352 Bit und die 3080 hat dazu sogar noch weniger Speicher. [...]

Das mit der "zu geringen Speicherbandbreite" ist schwer abschätzbar, da du nicht weiß, was die Chips effektiv für ihre Leistungsentfaltung benötigen. Darüber hinaus haben beide Varianten bereits eine höhere Bandbreite als deine RTX 2080 Ti.
Selbst mit vergleichsweise langsamen 16 Gbps-Standardpeicher wäre die Bandbreite bereits höher, jedoch benötigt man für diesen keine "Verrenkungen" auf dem PCB. Wahrscheinlicher wären min. 18 Gbps, was zu 720 GiB/s (+17 %) führt. Mit 20 Gbps wären es gar 800 GiB/s (+30 %).
 
Zuletzt bearbeitet:
Xbox Series X hat ein SoC mit Navi, der 52 aktive cus hat, RX 5700XT hat 40 zum Vergleich. Big Navi soll 80 haben. Und ja, bei so viel cus und IPC Optimierung, reichen auch 1,6 GHz um fast die doppelte Performance einer RX 5700XT zu erreichen, was AMD auch so anpreist.
Die 2GHz Variante wäre ein Wattschlucker, der seinesgleichen sucht.

Seinesgleichen habe ich bereits gefunden und die nennt sich Titan (Ampere) und wenn man den Gerüchten glauben schenkt pirscht sie sich mit leisen Schritten auf die 400W zu.
Die 3080ti / 3090 werden wohl auch deutlich über 300W ziehen.
Dagegen ist meine 2GHz RDNA2 ja fast schon eine Stromsparausführung.


Wieso sollen sie bei 1.6GHz schluss machen damit sie NVIDIA einen Gefallen tun und sie die Performance Krone behalten können.

Der GPU Part (52CU aktiv) der XBox (inklusive 10GB schnell angebunden und 6GB langsamer angebunden GDDR6 zieht allem Anschein nach <200W bei 1.825GHz / 320Bit.

200W x 80 /52 = 308W (worst case TSMC N7P / 512Bit, GDDR6)
180W x 80/52 = 277W

Es kann allerdings nicht ausgeschlossen werden das doch TSMC's 7N+ (euv) zum Einsatz kommt.
Auch ist HBM2 noch nicht vollends vom Tisch.

Weiter gehe ich davon aus das die P/W bei Big Navi im Vergleich zum XBOX SoC nochmals markant gesteigert werden konnte und nur die besten Dies in einem bereits gereiften Prozess (unabhängig ob N7P oder 7N+ zum Einsatz kommen wird da beide Prozesse mittlerweile überragend arbeiten)

Man sollte nicht vergessen das die +50 P/W nicht von ungefähr kommen sondern weil bei AMD seit nunmehr einiger Zeit ein separates nur für die Designoptimierung (P/W) zuständiges Team in der Entwicklung arbeitet das unter anderem auch Input von den Zen Entwicklern erhält.

Mit andren Worten das dies ein fortlaufender kontinuierlicher Prozess ist.

Daher bin ich mir ziemlich sicher das wenn wir die gegenwärtige Sachlage zusammenzählen: N7P / N7+ vs. Samsung 8nm (--) + Beiderseits ähnliche nicht prozessbedingte P/W Steigerungen.
AMD's Big Navi nicht nur höher takten kann als die 3080ti sondern zugleich auch noch weniger Verbrauchen wird.

Also mit anderen Worten ca. 300W, ca. 2GHz bei einer ähnlichen bis leicht höheren Leistung als 3080ti (da wohl 512Bit!) bei realistischen 16Gbps wären das 1024GB/s!
3080ti max. 384Bit (18-19Gbps???) = 864 - 912GB/s
 
Zuletzt bearbeitet:
Max Board Power dürfte für die 48GB Version gelten.

Wäre dem so, würde der GA102 gar noch deutlich effizienter werden, denn dann würde der Speicher anteilig noch mehr von der TBP "abzwacken".
Das dürfte auch der Grund sein, warum die Titan und Quadro dort wohl voraussichtlich mit langsameren Speichermodulen erscheinen werden. (Zudem werden wahrs. auch nur wieder diese Karten über den Vollausbau des GA102 verfügen.)

Ich würde jedoch annehmen, dass die 350 W TBP sich auch auf das 24 GiB-Modell beziehen. Dass der Riesenchip sooo ein Sparwunder wird, denke ich dann doch nicht.


@sandworm: Es gibt immer noch keine belastbare "gegenwärtige Sachlage". Bei AMD hat sich bzgl. des N7+ nichts getan, der zudem nur geringfügige Vorteile zum N7P bietet, sodass selbst dessen Verwendung effektiv wenig ändert und ebensowenig hat sich in den letzten 48 h etwas bei nVidia bzgl. dem 8LPP geändert, die ebenso nach wie vor eher das unwahrscheinlichere Szenario für den GA102 darstellen. ;-)
 
Zuletzt bearbeitet:
Wäre dem so, würde der GA102 gar noch deutlich effizienter werden, denn dann würde der Speicher anteilig noch mehr von der TBP "abzwacken".
Das dürfte auch der Grund sein, warum die Titan und Quadro dort wohl voraussichtlich mit langsameren Speichermodulen erscheinen werden. (Zudem werden wahrs. auch nur wieder diese Karten über den Vollausbau des GA102 verfügen.)

Ich würde jedoch annehmen, dass die 350 W TBP sich auch auf das 24 GiB-Modell beziehen. Dass der Riesenchip sooo ein Sparwunder wird, denke ich dann doch nicht.


@sandworm: Es gibt immer noch keine belastbare "gegenwärtige Sachlage". Bei AMD hat sich bzgl. des N7+ nichts getan, der zudem nur geringfügige Vorteile zum N7P bietet, sodass selbst dessen Verwendung effektiv wenig ändert und ebensowenig hat sich in den letzten 48 h etwas bei nVidia bzgl. dem 8LPP geändert, die ebenso nach wie vor eher das unwahrscheinlichere Szenario für den GA102 darstellen. ;-)

Tja ein weiser Mann geht nicht immer nur vom besten Fall aus weil es ihm so in den Kragen passt sondern geht vom schlechtesten Fall aus und ist dann positiv überrascht wenn es doch anders kommen sollte.

Ich kenne alle Argumente die dafür und dagegen sprechen könnten.
Aber es kann momentan keiner außer AMD und TSMC mit gewißheit sagen ob bei RDNA2 nun 7NP oder 7NP+ zum Einsatz kommen wird daher berücksichtige ich auch beide Szenarios und bin dann positiv überrascht
oder eben darauf vorbereitet wenn doch die wahrscheinlichere Variante 7NP kommen sollte.
Würde dir übrigens auch gut tun sich nicht nur auf eine Variante zu fixieren
 
Jou klar die kolportierten 350W bei der 3080TI/3090 sind ja sowas von effizent :hail:.

Nein, das riecht nach "keiner Ahnung, aber großer Klappe".

Erst einmal ist das die TDP, also Abwärme! Der reelle Stromverbrauch liegt meist deutlich unter dieser Angabe. Laut Erfahrungswerten dürfte dieser irgendwo bei 270 bis 320 bei Volllast pendeln. PowerTarget unagetastet versteht sich.

Desweiteren ist eine Effizenzrechnung ein Performance pro Watt Quotient. Ist diese Ampere RTX 3080 Ti (oder ähnlicher Name!) nämlich durchschnittlich 70-90% plus DLSS 2.0+ schneller als eine Turing RTX 2080 Ti, hätte dabei aber nur 20-30% mehr Stromverbrauch, wäre die Karte äußerst effizient. :hail:

Anders als hingegen Stromvernichter Vega mit 300-400W aber kaum schneller als eine GTX1080. :wall:

Sondern was? Teurer was sonst, was genau in das Profil passt. Die Effizienz geht also zu Lasten der Waferfläche die du bezahlst. Das ist bei euch also Fortschritt. Effizienter im Zusammenhang mit größer, zwangsläufig teurer und irgw. mal unbezahlbar für den Großteil, wobei kaum/knapper verfügbar?

Waferfläche wurde bisher nur in Turing für zusätzliche Hardware wie die Tensorkerne verbraucht. Die Tensorkerne bringen aber wesentlich höhere Mehrleistung in Raytracing & Co. als mit reinem Aufpumpen der CUDA-Kerne / Shader. Das hat AMD übrigens noch vor sich, also nicht zu weit aus dem Fenster lehnen! :cool:

Je nachdem welchen Weg Nvidia bei Ampere mit dedizierten Einheiten geht, könnten sie sogar Chipfläche sparen. Ich bin immer noch nicht über die Geschichte mit dem "Traversal Co-Prozessor" hinweg. Das tauchte einfach zu oft in den Gerüchten auf um eine Ente zu sein.

Falls Nvidia unter der Haube nämlich wirklich eine Neuheit versteckt, würde das auch ihr geheimnisvolles 21 day / 21 years erklären. Für sie ist das genau so bahnbrechend wie der Geforce 256 chip damals. Aber hey, abwarten!

Seinesgleichen habe ich bereits gefunden und die nennt sich Titan (Ampere) und wenn man den Gerüchten glauben schenkt pirscht sie sich mit leisen Schritten auf die 400W zu.

Jetzt übertreibst du aber!
Bei Vega hat euch die Stromvernichtung nicht sonderlich gestört. Jetzt auf einmal? Vielleicht ein bißchen zu heuchlerisch? :rollen::motz:

Die 3080ti / 3090 werden wohl auch deutlich über 300W ziehen.
Dagegen ist meine 2GHz RDNA2 ja fast schon eine Stromsparausführung.

Du hast also schon eine RDNA²? Woher denn bitte ... Wirtschaftspionage?

Wahrscheinilch meintest du Navi mit RDNA ver 1.0. Die hat aber ebenfalls jenseits der 200W verbraucht. Besonders mit Übertaktung. Das wird mit BigNavi ungefähr verdoppelt werden müssen. Angeblich ist laut AMD die Energieeffizient um 50% gestiegen. Das hieße RDNA² wäre ebenfalls bei 300W+ Stromverbrauch / TDP.

Je nach dem wie sehr AMD einmal wieder die Spannungen und den Takt übertreibt, eher mehr! :nene:

Ich würde also die Füße still halten bis finale Tests kommen! In Sachen Energieeffizienz war AMD nicht einmal mit weit überlegenem 7nm Fertigungsprozess eine weit überlegene RTX 2060 und RTX 2070 zu schlagen. Richtig peinlich wie sie in diesem Bezug versagt haben!

Der Rest klärt sich in Tests innerhalb der nächsten Wochen und Monate! :nicken:
 
Zuletzt bearbeitet von einem Moderator:
Tja ein weiser Mann geht nicht immer nur vom besten Fall aus weil es ihm so in den Kragen passt sondern [...]

"Ich kenne alle Argumente die dafür und dagegen sprechen könnten" ... und dennoch propagierst du bei jeder Gelegenheit den N7+ und räumst den N7P bestenfalls ein, wenn du darauf angesprochen wirst. Abgesehen davon, dass du damit auch deiner eigenen Aussage widersprichst (zudem sogar gleich zweimal), so a la "lieber etwas pessimistisch, um dann positiv überrascht zu werden", denn dann müsstest du ja stattdessen grundsätzlich vom N7P ausgehen. Na wenn das deine Definition von "Weisheit" ist ... mir dagegen scheint eher, dass du einen Glückskeks zu viel hattest. ;-)

Und zudem ignorierst du sowohl im Falle von AMD für RDNA2 als auch im Falle von nVidia für Ampere (bei denen du immer mit 8 nm ankommst) die Wahrscheinlichkeiten. Es ist ebensowenig weise, jeden Tag davon auszugehen, dass man überfahren wird. Da wird man dann zwar (fast) jeden Abend ein Glückerlebnis haben, weil man doch noch "überraschenderweise" lebend nach Hause gekommen ist, nur ist es das wohl nicht wert, jeden Tag mit der Erwartungshaltung zu verleben, dass man überfahren wird. ;-)

[...] Waferfläche wurde bisher nur in Turing für zusätzliche Hardware wie die Tensorkerne verbraucht. Die Tensorkerne bringen aber wesentlich höhere Mehrleistung in Raytracing & Co. als mit reinem Aufpumpen der CUDA-Kerne / Shader. Das hat AMD übrigens noch vor sich, also nicht zu weit aus dem Fenster lehnen! [...]

Als Anmerkung: Tensor Cores und RT Cores sind zwei unterschiedliche Paar Schuhe in Turing und auch Ampere. Wie die Zusammenstellung im Consumer-Ampere aussehen wird, bleibt abzuwarten. Für Raytracing werden jedenfalls die RT Cores genutzt, die Tensor Cores dienen hierbei nur dem Denoising *), das man notfalls aber auch über die SPs abwickeln kann, wie es wohl dann AMD implementieren wird. Deren "RT-Kerne" werden bei RNDA2 in dem TMUs liegen. Das kann technisch Gründe haben, möglicherweise musste AMD jedoch auch einfach eine andere Art der Implementation wählen, um kein nVidia-Patent zu verletzen.

*) Optional kann man die Tensor Cores bspw. auch noch für etwas nachgelagerstes wie DLSS verwenden, das jedoch eher einem Post-Processing-Effekt gleichkommt und vom Raytracing unabhängig zu betrachten ist. Und auch hier könnte AMD etwas ähnliches über die SPs implementieren, vielleicht nicht ganz so effizient ohne spezielle HW-Einheiten aber dennoch kein unüberwindbares Hindernis.
 
Zuletzt bearbeitet:
Ich würde also die Füße still halten bis finale Tests kommen! In Sachen Energieeffizienz war AMD nicht einmal mit weit überlegenem 7nm Fertigungsprozess eine weit überlegene RTX 2060 und RTX 2070 zu schlagen. Richtig peinlich wie sie in diesem Bezug versagt haben!

Also eher so wie du beim Thema Objektivität...

Vega (mit nem BIOS switch auf 220W) verbraucht Bei dir 400W und eine 5700XT die im thread nebenan (horizon zero dann) mit ihren min.fps eher Richtung rtx2080s denn 2070S unterwegs ist, kommt nicht an einer 2060, oder 2070 vorbei. Jupp, bist n echter Profi. :klatsch:

Alles ein gutes Stück zurück gefahren, ware dein post gar nicht mal so unbrauchbar.

Warten wir es einfach ab. Die ersten Karten sollten bald kommen und spätestens im Winter, können wir uns immer noch die Finger wund diskutieren.
 
Seinesgleichen habe ich bereits gefunden und die nennt sich Titan (Ampere) und wenn man den Gerüchten glauben schenkt pirscht sie sich mit leisen Schritten auf die 400W zu.
Die 3080ti / 3090 werden wohl auch deutlich über 300W ziehen.
Dagegen ist meine 2GHz RDNA2 ja fast schon eine Stromsparausführung.


Wieso sollen sie bei 1.6GHz schluss machen damit sie NVIDIA einen Gefallen tun und sie die Performance Krone behalten können.

Der GPU Part (52CU aktiv) der XBox (inklusive 10GB schnell angebunden und 6GB langsamer angebunden GDDR6 zieht allem Anschein nach <200W bei 1.825GHz / 320Bit.

200W x 80 /52 = 308W (worst case TSMC N7P / 512Bit, GDDR6)
180W x 80/52 = 277W

Es kann allerdings nicht ausgeschlossen werden das doch TSMC's 7N+ (euv) zum Einsatz kommt.
Auch ist HBM2 noch nicht vollends vom Tisch.
Ich muss dich leider enttäuschen, du hast reinen Chip- + VRAMverbrauch berechnet. Das alles kommt auf ein Board mit Spannungswandlern, der Verbrauch heißt dann TGP. Hier mehr dazu:
Was ist der TGP einer Grafikkarte und wie unterscheidet er sich vom TDP? | ITIGIC

Bei dem Takt, wenn er konstant bleibt, würde AMD mit mindestens 330W fahren, wahrscheinlich höher. Ob AMD or Nvidia jemanden einen Gefallen tun, ist mir Schnuppe. Logischerweise machen sie das nicht, weil sie ihre Ware an den Mann bringen müssen. Ich bleibe dabei, bei der Anzahl Shadern und dem hohen Takt, den du propagierst, wird die Big Navi nie und nimmer sparsam. Nvidia wird denselben weg gehen, aber aus dem Grund der unterlegenen Fertigungstechnik.
Man sollte nicht vergessen das die +50 P/W nicht von ungefähr kommen sondern weil bei AMD seit nunmehr einiger Zeit ein separates nur für die Designoptimierung (P/W) zuständiges Team in der Entwicklung arbeitet das unter anderem auch Input von den Zen Entwicklern erhält.

Mit andren Worten das dies ein fortlaufender kontinuierlicher Prozess ist.
Das macht nicht nur AMD so, sondern alle Hardwarehersteller.

Daher bin ich mir ziemlich sicher das wenn wir die gegenwärtige Sachlage zusammenzählen: N7P / N7+ vs. Samsung 8nm (--) + Beiderseits ähnliche nicht prozessbedingte P/W Steigerungen.
AMD's Big Navi nicht nur höher takten kann als die 3080ti sondern zugleich auch noch weniger Verbrauchen wird.
Das bezweifle ich stark. Der Grund ist, RDNA1 in 7nm verbraucht mehr als Turing bei gleicher Leistung. Ampere wird auf 10nm verkleinert, während RDNA2 bei 7nm bleibt.

Also mit anderen Worten ca. 300W, ca. 2GHz bei einer ähnlichen bis leicht höheren Leistung als 3080ti (da wohl 512Bit!) bei realistischen 16Gbps wären das 1024GB/s!
3080ti max. 384Bit (18-19Gbps???) = 864 - 912GB/s
512Bit kostet auch Strom, deine Ausführungen sind Milchmädchenrechnungen.
 
In der Kurzversion:
Eine Extrapolation ausgehend von Navi 10 unter Berücksichtigung von AMDs "+50 % Perf/Watt"-Aussage führt zu etwa 214 W TDP für eine 80 CUs umfassende GPU mit maximalen 1,9 GHz, was zu bereits um die 305 W TGP führt. Extrapoliert man den Takt weiter nach oben unter Berücksichtigung eines nur marginalen Effizienzverlustes, führt das bei bereits 2,1 GHz zu grob um die 340 W TGP (sofern das Design einen solchen Takt überhaupt sinnvoll schafft).
Raytracing könnte dem Design zudem weitere Limitierungen auferlegen, da hiermit tendenziell die Recheneinheiten im Mittel etwas höher ausgelastet werden, was das Navi 10-Referenzmodell nicht berücksichtigt.

Und der Fertigungsprozess spielt in diesem Fall keine Rolle, da AMD in seiner "+50 % Perf/Watt"-Aussage zweifellos schon alle architektonischen und technischen Maßnahmen zusammengefasst haben wird, die zu der Effizienzsteigerung beitragen werden.

Wie irgendwer schon mal zuvor in einem Thread schrieb: Es werden heiße Eisen werden. ;-)
 
Nur mal so, 12FFC ist nicht 12FFN und N7/N7P ist nicht N7N. Es gibt dort UHD und HD (ultra high density und high density, je nach Node). Wenn ihr die Packdichte von FFN kennt her damit, bin gespannt.:D

Solange das nicht der Fall ist, rühren wir alle in einem großen Topf. Ich glaube auch das es "heiß" wird.
 
@ProzessortArchitektur

Was kommt dann wirklich an Speicher an bei Ampere
und doch schneller als spekuliert,-
oder werden es nicht mehr als ca. +40% zur RTX 2080TI Stock
 
ie Frage, die man sich stellen muss: Wie genau kann die Aussage von AMD genommen werden, die bereits im März getroffen wurde? [...]

Die Chipdesigns haben schon Monate vorher ihren Tapeout, d. h. da hat man schon fertiges Silizium produziert und danach geht es im Labor (im Regelfall) nur noch ums Ausmerzen von Fehlern und das Refinement des Prozesses und der Masken. Zu dem Zeitpunkt können die schon sehr genau sagen was ihnen möglich sein wird (wenn sie das denn wollen). Zudem sind sowohl der N7P als auch N7+ bereits seit Mitte 2019 produktiv (HVM), d. h. man weiß auch was diese Prozesse können.
Bspw. Zen2/Rome hatte seinen Tapeout in 1Q18, Zen3/Milan bereits in 2Q19, Intels Cooper Lake SP dürfte seinen Tapeout wohl spätestens 1Q19 gehabt haben und wurde im Backend bei einigen Großkunden schon seit 3Q19 produktv genutzt, obwohl das Design erst in 2Q20 offiziell veröffentlicht wurde. Beispielsweise der GP100 hatte seinen Tapeout rd. 9 Monate vor dem Release (bzgl. GPUs erfährt man dazu i. d. R. eher noch weniger).

Ob AMDs Aussage der Wahrheit entspricht, kann ich nicht beurteilen. Sehe ich mir an, was Navi 10 leistet, dann sollte es ihnen jedoch durchaus möglich sein diese Aussage zu realisieren, *) zumal es auch marketingtechnisch ungünstig wäre, wenn man eine solche Aussage leichtfertig gegeben hätte. **) Darüber hinaus kann man auch nicht ausschließen, dass sie vielleicht eher tief gestapelt haben? Vielleicht sind ja auch 55 % oder 58 % drin? Man wird sehen ...

Beschränkt man sich auf AMDs offizielle Aussage und deren gewählte Referenz RDNA/Navi 10 hat man zumindest den Vorteil, dass man sich bei solchen Abschätzungsversuchen nicht mit Prozessdetails "herumschlagen" muss, da die von AMD schon implizit in dieses Leistungszugewinn-Werbeversprechen eingearbeitet sind.

*) Zudem bleibt ihnen schlussendlich auch keine Wahl als einen grob vergleichbaren Wert zu realisieren, denn andernfalls wäre ihnen etwas wie ein hoch taktender 80 CUs umfassender Chip gar nicht möglich, denn Navi's Effizienz ist zu schlecht um derart hochskaliert zu werden, es sei denn man wollte Enthusiasten mit 400+ W-Karten beglücken. ;-)

**) Und das die im Gedächsnis bleiben würde, ergibt sich alleine daraus, dass das meines Wissens nach die einzige offizielle, leistungsbezogene Aussage von AMD zu RDNA2 überhaupt war, die auch nur entfernt mit einer konkreten Zahl verknüpft war.
 
Zurück