Intel erstmals mit Prozessoren von TSMC?

Kann doch nichzt sein, vor einiger Zeit wurde man noch ziemlich nieder gemacht, wenn man vorhersah, daß Intel in Zukunft Richtung fabless gehen könnte:O
Und auch zurecht, da es damals keine Grundlage für eine derartige Bewertung/Aussage gab und bisher auch weiterhin nicht gibt. Nach bisherigem Kenntnisstand ergänzt Intel die eigene Fertigung vorerst lediglich und mehr kann man bisher weiterhin nicht aussagen.
Da Intel die konkret zugrundeliegenden Probleme aus leicht nachvollziehbaren Gründen nicht im Detail erklärt, lässt sich hier von Außen auch weiterhin kaum wirklich bewerten, was eine bevorzugte Marschrichtung wäre und das Ganze kommt eher einem Münzwurf gleich und von daher sind derartige Aussage/Forderungen aktuell weiterhin eher unsinnig. Langfristig könnte es in Richtung einer Auslagerung gehen, ebenso könnte es aber auch sein, dass Intel in 2024/25 seine externen Volumina wieder zurückfährt und verstärkt auf die eigene Fertigung setzen wird. Hier wird man weiterhin abwarten müssen.
Und nein, in bspw. 2025 wird kein einziger sagen können "er/sie hat's ja gleich gewusst", denn faktisch hat es niemand externes gewusst, wenn man sich den historischen Verlauf ansieht, vollkommen gleich, wie das Ganze auf lange Sicht "ausgehen wird".
Bei GF läuft es doch eh gut, die werden sich wohl nicht übernehmen lassen wollen;)
Das hat nicht GF zu entscheiden, sondern der arabische Mehrheitseigner. Würde jemand ein attraktives Angebot machen, wären die wohl schnell verkauft.
Darüber hinaus stellt sich nur die Frage, warum ein Technologieführer an denen Interesse haben sollte. Nach bisherigem Kenntnisstand haben die nichts anzubieten außer ein paar Fabs. Technologisch und mit Blick auf R&D werden die vermutlich gar noch hinter Intel rangieren, d. h. außer ein paar Fabs könnte hier nichts hinzugewonnen werden und selbst bei denen wäre noch fraglich ob eine Aufrüstung lohnenswert wäre, denn die Anforderungen bzgl. der 7/5/3nm-Fertigung könnten ggf. deutlich größere Anpassungen erforderlich machen?

Den Anschluss verloren hat GF erst faktisch in 2017 mit der Aufkündigung der 7nm-Prozessentwicklung. Davor stellten sie zwar nicht die technologische Spitze dar, waren aber immer noch halbwegs gut mit dabei.
 
Zuletzt bearbeitet:
Und was hat das mit dem Thema zu tun? @Nebulus07
Inzwischen ist GloFo in den Händen von arabischen Investoren aus den UAE...
Mit irgendwelchen Steuergeldern (welches Staates überhaupt?) hat das nichts zu tun.

Sie könnten auch eine Art "joint-venture" oder Ähnliches mit Intel anstreben, wenn sie denn wollten.
(Intel könnte von mehr Kapazität profitieren, GloFo einfach vom Wissenstransfer gegen Gebühr/auf Lizenz)

Was die Investoren mit der Firma vorhaben ist allerdings unbekannt.
Aber so wie Investoren normalerweise agieren, versenken die nicht Sinnlos ihr Geld sondern streben reichlich Gewinn an. Und sei es auch nur durch den erneuten Verkauf von GloFo an eine andere Investorengruppe.

So lang aber niemand die Firma zerschlägt, wäre es auch Möglich diese wieder auf Vordermann zu bringen denke ich.
Der Bedarf an massenhaft high-end chips ist ja zweifelsohne da, mehr denn je sogar.

Niemand gibt Milliarden für eine Firma aus um dann nochmal Milliarden in die Modernisierung zu stecken. GloFo wird bereits seit 3 Jahren nicht mehr modernisiert und kann auch Intel nicht aushelfen. Die haben selber genug veraltete Fabriken die modernisiert werden müssen. 10/7nm soll sogar bei TSMC ausgelagert werden. Also Intel wird GloFo nicht retten.
HighEnd CPUs werden bei GloFo nicht mehr vom Band laufen. Der Fahrplan bei denen ist bei 22nm zu bleiben.
 
@PCGH_Torsten

könntest du mir (so für halbdumme ;-) ) erklären warum intel nich "einfach" die euv-maschien von asml kauft uns es selbst macht ?

bzw wenn sie die schon haben. was macht tsmc/samsung anders als intel wenn sie die gleichen maschienen benutzen ?

Intel kauft bei ASML ein. :-) (Genauso wie jeder andere in der Branche.)

Aber für einen Prozess braucht es mehr als eine Maschine. Die gesamte Chemie und den eigentlichen Aufbau der zu erzeugenden Strukturen entwickeln die Foundrys selbst. TSMC hatte da zuletzt ein etwas glücklicheres Händchen, weswegen zuletzt auch über eine Prozesslizensierung spekuliert wurde. Allerdings ist es schwer von außen zu sagen, wo Intel überhaupt steht. TSMC 7nm hausintern umzusetzen würde zu lange dauern; der Aufbau einer neuen Fertigung dauert schließlich auch mit eingekauftem Prozess länger als die simple Umsetzung eines Chip-Designs bei einem externen Fertiger. Alle internen Prozesse, die Intel jetzt noch anstoßen könnte, würden erst Früchte tragen, wenn eigentlich schon das Duell TSMC 5nm vs. Intel 7 nm ansteht. Und solange man nicht weiß, woran es bei 10 nm gehakt hat, kann man nicht sagen, ob auch 7 nm als vergleichsweise simpler 10-nm-Shrink dadurch verzögert wurde.


Den Anschluss verloren hat GF erst faktisch in 2017 mit der Aufkündigung der 7nm-Prozessentwicklung. Davor stellten sie zwar nicht die technologische Spitze dar, waren aber immer noch halbwegs gut mit dabei.

GF hatte 2017 schon lange keinen Anschluss mehr. Das mag damals nicht so aufgefallen sein, weil Intel den eigenen Zeitplänen meilenweit hinterhinkte und TSMC auch Verzögerungen hatte, aber GF 14 nm war eher auf dem Niveau von TSMCs 2015er 16 nm, klar hinter TSMCs 2017er 10 nm, und konnte auch nicht mit Intels 14 nm mithalten, der zu diesem Zeitpunkt bereits von 10 nm hätte abgelöst werden sollen. Gut – das ist er bis heute nicht und somit hinkt GF bis heute nur knapp hinter einigen Intel-Designs hinterher, aber die gelten eben auch als veraltet. TSMC hat schon 2018 7 nm eingeführt. Das ist ein noch größerer Rückstand als vor 2017, als TSMC mit 28 nm gegen 20/22-nm-Konkurrenprozessen kein Land gesehen hat. 2014 hatte GF noch ein tollerables Jahr Rückstand auf Samsung 28 nm, aber das war damals keine Spitzenleistung, sondern nur gemeinschaftliches Hinterhinken hinter Intel "Alien Fab" 22 nm, der schon zwei Jahre/Generationen am Markt war. In diesem Vergleich hatte GF nie einen "Anschluss", denn AMD hat die Fabs ja aufgegeben, weil man selbigen verloren hatte und die neuen Investoren konnten das nie aufholen. Da wurde in den 0er Jahren einfach eine strategische Entscheidung verpasst – SOI war mal toll und konnte den Lithographie-Rückstand von einer Stufe lange Zeit ausgleichen (und das war gegen Netburst nicht einmal nötig ^^), aber die Vorteile wurden mit immer kleineren Transistoren immer geringer, wärend Nachteile und Rückstände an anderer Stelle gleich blieben.
 
@PCGH_Torsten

vielen dank schonmal für deine mühe :-)

ergo hat tsmc die arbeitsprozesse (nenn ich jetzt mal so) beim belichten der wafer erfolgreich kontinuierlich weiterentwickelt, während intel irgendwann unterwegs steckengeblieben ist. weshalb tsmc&samsung zur zeit den besseren fertigungsprozess haben. nodebezeichnung hin oder her.
dadurch erklärt sich mir jetzt warum man die nodebezeichnungen der einzelnen fertiger nicht direkt miteinander vergleichen kann. es kommt nich nur auf den hammer an (in dem fall asml euv´s) sondern wie man damit hämmert ;-)

zum thema was macht intel jetzt mit tsmc:

da gabs heute einen artikel auf golem:
"intel-ceo-pat-gelsinger-wir-muessen-bessere-produkte-als-apple-liefern"

zitat aus dem artikel:

"Im Gespräch sind daher Auslagerungen der Produktion zu Samsung Foundry und zu TSMC, allerdings weisen die beiden Auftragsfertiger längst nicht die Kapazität auf, einen Großteil von Intels Produkten zu fertigen. Es gibt daher die Idee, dass Intel sich bewährte Prozesse von Samsung Foundry und TSMC lizenziert. Die notwendigen Scanner des niederländischen Ausrüsters hat Intel ohnehin, weshalb dieser Ansatz vergleichsweise leicht umzusetzen wäre."

die sind der meinung das die lizensierung eines fertigungsprozess leicht umzusetzen wäre.
was wenn intel garnicht an einem N7 von tsmc interessiert ist sonder an den N5 & N3 nodes von tsmc.
da würde sich eine umstellung der eignen asml euv´s doch sicher lohnen. selbst wenn es ein jahr dauert wären sie wieder auf augenhöhe was fertigung (nicht stückzahlen) betrifft.
mal angenommen tsmc lizensiert das an intel. würde tsmc dann nicht (gegen vermutlich sehr viel geld) seinen vorsprung und ihre exclusivität aufgeben ?
oder sind die keine konkurrenten weil intel kein auftragsfertiger ist und somit egal wäre ?

laut artikel kommt eine auslagerung nicht in frage weil weder samsung noch tsmc solche kapazitäten haben um einen kundel wie intel in dem volumen zu bedienen den es braucht. zumal alle kontingente für 2021 weg sind und für 2022 sicherlich auch (amd,apple,qualcomm ect). und 2023 is alles zu spät.

also was wird intel tun ?

meine spekulatition ist.
1. intel lizensiert solange bis sie selbst ihre fertigung im griff haben.
2. sie gehen ein joint venture ein was den herstellungprozess angeht
3. sie übernehmen einen beträchtlichen anteil an tsmc und teilen sich die kosten für entwicklung (falls das nich 2. ist)

mfg ceDon
 
Alles bis runter auf 5nm dürfte bei TSMC für Intel nicht greifbar sein.

Wenn sie einen Teil der Chips auslagern, dann wird es sich um 4nm oder 3nm handeln.
 
Die müssen 5nm alleine schon für das HPC-Compute Tile haben, entweder schon für Ende diesen Jahres, spätestens aber im kommenden Jahr . - Diese Art "Verschwörungstheorien" a la "können/dürfen sie nicht bekommen" sind sinnbefreit.
Und wie schon vor Monate zu lesen war, hat Intel bereits in diesem Jahr beträchtliche Kapazitäten bei denen gebucht, angeblich im N6, aber selbst wenn man diese Konkretisierung ignoriert, ist vollkommen klar, dass es nichts über einem N7 sein kann, denn das wäre komplett uninteressant für Intel und selbst ein N7 dürfte mittlerweile nicht mehr wirklich attraktiv für sie sein, wenn sie schon in den saueren Apfel beißen müssen und extern hinzubuchen. (Die einzige Variante, in der man mit einem grob gleichwertigen N7 liebäugeln würde wäre, wenn man tatsächlich so viel Volumen zu fertigen hat, dass man mit den eigenen 10nm-Kapazitäten derzeit nicht hinterher kommt/en wird.) Man kann sich einfach mal überraschen lassen, was im 2HJ21 folgen wird; aktuell stehen zumindest Produke auf Basis des N6 im Raum, so primär Xe-HPG. Was sonst noch kommt, bleibt vorerst abzuwarten, denn Alder Lake wird explizit 10nm Enhanced SuperFin nutzen, das auch ebenfalls von Sapphire Rapids SP genutzt werden soll, sofern man hier nicht noch einmal nachträglich Hand anlegt, was nicht übermäßig wahrscheinlich erscheint, wenn man bedenkt, dass der sich mittlerweile auch schon in der Sampling Phase befinden soll (und Ice Lake SP wird aktuell in 10nm SuperFin gefertigt).

@PCGH_Torsten: Das hängt natürlich davon ab, wie man das "den Anschluss verlieren" definiert. Im Falle von GloFo würde ich das jedoch eher ab 2017 sehen, man kann es aber auch natürlich wie im Sport sehen als ein "wer zweiter ist, ist schon Verlierer". ;-)
In 2017 veröffenlichte AMD Zen auf Basis des 14LPP, den man dort von Samsung lizensiert hatte und war zumindest grob vergleichbar zu Intel aufgestellt, wenn auch gesichert nichts ganz so modern und TSMC begann in dem Jahr gerade erst mal die 10nm-Volumenfertigung, während bei denen bisher der 12FFC als vierte 16nm-Iteration aktuell war. Wenn die gesamte Fertigungsriege mit Verzögerungen zu kämpfen hat, dann ist offensichtlich dieser verzögerte Stand derjenige, an dem man messen muss.
Die Schere ging erst so richtig ab 2018 deutlich auseinander. TSMC fertigte ab Mitte des Jahres im N7, Samsung stellte zum Jahreswechsel seinen 7LPE mit EUV vor und Intel druckste immer noch mit ihrem ersten 10nm-Versuch herum, der so schlecht lief, dass es Anfang 2018 nur für ein paar wenige CPUs reichte, die man vorrangig im asiatischen Markt vertrieb und dann den Restbestand in den eigenen NUCs verwertete. Erst Mitte 2019 lief es einigermaßen mit dem verbesserten 10nm+ und Ice Lake U/Y, jedoch war der Prozess immer noch problembehaftet und noch nicht vollends konkurrenzfähig und von GloFo hörte man seit Ende 2017 nichts mehr, außer dass die auch ein wenig an GAAs forschen, jedoch steht es derzeit in den Sternen, ob das bei denen jemals zu einem serienreifen Prozess führen wird. Absehbar werden die sich (vorerst) nun auf ältere Nodes beschränken (aktuell gibt es bei denen maximal 12 nm, weiterhin indirekt basierend auf der Lizensierung von Samsung).

@ceDon: Eine teilweise Auslagerund wird bei Intel so oder so stattfinden. Einerseits fertigen die schon grundsätzlich extern, so Chipsätze und bspw. AI-Chips ihrer Habana-Sparte. Andererseits haben die schon Kontingente für dieses Jahr bei TSMC gebucht.
Hier darf man lediglich nicht verwechseln zwischen "komplette Auslagerung/becoming fabless" und nur einer "teilweise, externen Fertigung". Letzteres ist das, was aktuell bei Intel diskutiert wird.
Und selbst das von Spezialisten spöttisch heraufbeschworene fabless-werden ist nichts, was bei der Größe von Intel mal eben so geht, sondern Jahre in Anspruch nehmen würde, denn keine Foundry kann Intel hier mit ausreichenden Kapazitäten versorgen und ein Verkauf der eigenen Fabs an bspw. TSMC (sofern die überhaupt daran Interesse hätten) hätte daraufhin aufwendige, langwierige Umrüstungen zur Folge, d. h. auch so etwas könnte grundsätzlich nur schrittweise erfolgen.

Nach bisherigem Stand sieht es eher nach einer unterstützenden Maßnahme aus (die zweifellos min. zwei, drei Jahre laufen wird) um die eigenen Enpässe und auch technischen Rückstände zu kompensieren, bis man selber nachziehen konnte.
Beispielsweise zum Earnigs Call 4Q20 erklärte man explizit "the company has made strong progress on its 7nm process technology", was erst einmal danach klingt, als wenn man *) im Plan der sechsmonatigen Verzögerung bleiben wird, d. h. bereits zum Ende oder zum Jahreswechsel 2022/23 könnte es das erste Consumer-Produkt in Intel's eigenen 7nm geben (die bereits über TSMCs 5nm hinausgehen sollen).
Da sich Intel bzgl. Details (so z. B. was konkret bei der 10nm-Entwicklung schief lief) bedeckt hält, sind die Möglichkeiten für Abschätzungen aus einer externen Sichtweise deutlich beschränkt. Hier wird man abwarten müssen.

*) Hier erneut leere Versprechungen zu machen (mit der 10nm-Historie im Nacken) kann man sich eigentlich nicht mehr wirklich leisten.
 
Zuletzt bearbeitet:
@PCGH_Torsten

vielen dank schonmal für deine mühe :-)

ergo hat tsmc die arbeitsprozesse (nenn ich jetzt mal so) beim belichten der wafer erfolgreich kontinuierlich weiterentwickelt, während intel irgendwann unterwegs steckengeblieben ist. weshalb tsmc&samsung zur zeit den besseren fertigungsprozess haben. nodebezeichnung hin oder her.
dadurch erklärt sich mir jetzt warum man die nodebezeichnungen der einzelnen fertiger nicht direkt miteinander vergleichen kann. es kommt nich nur auf den hammer an (in dem fall asml euv´s) sondern wie man damit hämmert ;-)

zum thema was macht intel jetzt mit tsmc:

da gabs heute einen artikel auf golem:
"intel-ceo-pat-gelsinger-wir-muessen-bessere-produkte-als-apple-liefern"

zitat aus dem artikel:

"Im Gespräch sind daher Auslagerungen der Produktion zu Samsung Foundry und zu TSMC, allerdings weisen die beiden Auftragsfertiger längst nicht die Kapazität auf, einen Großteil von Intels Produkten zu fertigen. Es gibt daher die Idee, dass Intel sich bewährte Prozesse von Samsung Foundry und TSMC lizenziert. Die notwendigen Scanner des niederländischen Ausrüsters hat Intel ohnehin, weshalb dieser Ansatz vergleichsweise leicht umzusetzen wäre."

die sind der meinung das die lizensierung eines fertigungsprozess leicht umzusetzen wäre.
was wenn intel garnicht an einem N7 von tsmc interessiert ist sonder an den N5 & N3 nodes von tsmc.
da würde sich eine umstellung der eignen asml euv´s doch sicher lohnen. selbst wenn es ein jahr dauert wären sie wieder auf augenhöhe was fertigung (nicht stückzahlen) betrifft.
mal angenommen tsmc lizensiert das an intel. würde tsmc dann nicht (gegen vermutlich sehr viel geld) seinen vorsprung und ihre exclusivität aufgeben ?
oder sind die keine konkurrenten weil intel kein auftragsfertiger ist und somit egal wäre ?

laut artikel kommt eine auslagerung nicht in frage weil weder samsung noch tsmc solche kapazitäten haben um einen kundel wie intel in dem volumen zu bedienen den es braucht. zumal alle kontingente für 2021 weg sind und für 2022 sicherlich auch (amd,apple,qualcomm ect). und 2023 is alles zu spät.

also was wird intel tun ?

meine spekulatition ist.
1. intel lizensiert solange bis sie selbst ihre fertigung im griff haben.
2. sie gehen ein joint venture ein was den herstellungprozess angeht
3. sie übernehmen einen beträchtlichen anteil an tsmc und teilen sich die kosten für entwicklung (falls das nich 2. ist)

mfg ceDon

N7 ist für Intel definitiv uninteressant. Es hat lange gedauert, Intel 10 nm großserientauglich zu machen, aber jetzt hat Intel endlich einen Prozess auf dem Niveau von 7N. 6N-Kapazitäten bei TSMC für dieses Jahr einzukaufen ergibt Sinn, aber eine Lizenz die erst Mitte 2022 in fertige Produkte münden würde, wäre rausgeschmissenes Geld. Nach bisherigem Zeitplan will Intel da schließlich schon die Produktion von 7 nm Meteor Lake hochfahren. Wenn das klappt, brauchen sie auch kein TSMC 5N – sollte Intel aber wie schon bei 14 nm und vor allem bei 10 nm den eigenen Zeitplan nicht einhalten können, wäre jetzt ein guter Zeitpunkt, einen Fallback einzukaufen. Bislang gibt es schlicht keine Informationen, ob sich Intel in so einer Lage befindet. Ich glaube aber auch nicht, dass TSMC sonderlich an einer Lizenzvergabe ihrer Spitzentechnologie interessiert ist. Zwar ist Intel kaum als Foundry aktiv, aber AMD, Apple und (künftig) Nvidia konkurieren direkt kaufen bei TSMC ein, um eine bessere Basis als die Intel-Produkte zu haben. Wenn diese drei Konkurrenten wieder Marktanteile an Intel/Intel-Kunden verlieren, reduziert das auch TSMCs Geschäft.


@PCGH_Torsten: Das hängt natürlich davon ab, wie man das "den Anschluss verlieren" definiert. Im Falle von GloFo würde ich das jedoch eher ab 2017 sehen, man kann es aber auch natürlich wie im Sport sehen als ein "wer zweiter ist, ist schon Verlierer". ;-)
In 2017 veröffenlichte AMD Zen auf Basis des 14LPP, den man dort von Samsung lizensiert hatte und war zumindest grob vergleichbar zu Intel aufgestellt, wenn auch gesichert nichts ganz so modern und TSMC begann in dem Jahr gerade erst mal die 10nm-Volumenfertigung, während bei denen bisher der 12FFC als vierte 16nm-Iteration aktuell war. Wenn die gesamte Fertigungsriege mit Verzögerungen zu kämpfen hat, dann ist offensichtlich dieser verzögerte Stand derjenige, an dem man messen muss.
Die Schere ging erst so richtig ab 2018 deutlich auseinander. TSMC fertigte ab Mitte des Jahres im N7, Samsung stellte zum Jahreswechsel seinen 7LPE mit EUV vor und Intel druckste immer noch mit ihrem ersten 10nm-Versuch herum, der so schlecht lief, dass es Anfang 2018 nur für ein paar wenige CPUs reichte, die man vorrangig im asiatischen Markt vertrieb und dann den Restbestand in den eigenen NUCs verwertete. Erst Mitte 2019 lief es einigermaßen mit dem verbesserten 10nm+ und Ice Lake U/Y, jedoch war der Prozess immer noch problembehaftet und noch nicht vollends konkurrenzfähig und von GloFo hörte man seit Ende 2017 nichts mehr, außer dass die auch ein wenig an GAAs forschen, jedoch steht es derzeit in den Sternen, ob das bei denen jemals zu einem serienreifen Prozess führen wird. Absehbar werden die sich (vorerst) nun auf ältere Nodes beschränken (aktuell gibt es bei denen maximal 12 nm, weiterhin indirekt basierend auf der Lizensierung von Samsung)

2018? Apples A11 in 10 nm TSMC wurde im Herbst 2017 schon massenhaft verkauft. ;-) Und der bei nachträglich bei Samsung eingekaufte Prozess war dort eben auch schon ein alter Hut. Aber kein qualitativ hochwertiger. 14LPP würde ich eher mit 22 nm denn 14 nm Intel vergleichen. Der 1800X brachte ungefähr die Leistung des 5960X bei (plattformbereinigt eher leicht denn deutlich) niedrigerem Verbrauch. Ein 6900K lag dagegen bei gleichem Verbrauch schon deutlich in Führung und dessen 14-nm-Prozess war zu dem Zeitpunkt eben nicht das neueste, sondern das älteste im Intel-Portfolio.

Als Gamer erliegt man hier leicht einer Verwechslung zwischen Technikqualität und Produkterfolg. Ryzen 1000 hat Kaby Lake vom Platz gefegt, ja, und bessere Angebote als Coffee Lake gemacht, ja. Aber Summit Ridge war ein 213-mm²-Monster, das gegen 123-mm²- beziehungsweise 149-mm²-Chipschen antrat. Zieht man die bei AMD fehlende IGP ab, blieben vielleicht 80 respektive 100-110 mm² aktives Silizium übrig. Zen in 14LPP brauchte beinahe die doppelte Chipgröße, um etwas weniger Rechenleistung als Coffee Lake zu liefern. Das ist technisch ein ziemlich schlechtes Ergebnis, dem AMD mit einer Marge weit unter Intels Gewinnspanne zum Durchbruch verhelfen mussten, bis sie auf TSCM 7N wechseln konnten. An dieser Stelle sei auch der X570 in 14 nm GF erwähnt, der beinahe das Doppelte des Z390=Z490 in 14 nm Intel verheizt, obwohl er etwas weniger und größtenteils deutlich langsamere Ports hat.

Und das alles war, wie gesagt, 2017 nicht neu. Der letzte große Schritt von GF davor war 2011 32 nm SOI. Fast zwei Jahre nach Intels Clarkdale und nur wenige Monate vor Sandy Bridge. Und erneut waren die Chips riesig (trotz in den Chipsatz ausgelagerter Funktionen) sowie legendär stromhungrig, aber selbst in den wenigen gut liegenden Anwendungen nicht übermäßig schnell. Korrigiert man für Transistorzahl und Stromverbrauch, nimmt also einen kleinen 2- oder 3-Moduler, so musste sich das beste, was GF 2011 produzieren konnte, Vergleiche mit Intels 45 nm Bloomfield gefallen lassen, der schon seit einem Jahr in Rente war. (Und das, wie gesagt in Bulldozer-freundlichen Szenarien. Über die unfreundlichen breiten wir freundlich den Mantel des Schweigens. Sonst wäre man teilweise bei 65-nm-Vergleichsobjekten. ;-))

GF hat zwar seit der Gründung bis zum 10-nm-Großserienstart im Schnitt den gleichen Abstand zu Intel gehalten. Aber dieser Abstand war durchgängig groß und es geht hier nicht darum, wer den zweiten Platz im Schach belegt (statt nur Vorletzter zu werden). Im gleichen Zeitraum sind die Nummer 2 und 3 von "knapp vor GF" zu "deutlich vor Intel" aufgestiegen. GF konnte keinen Anschluss halten, die sahen nur gegen einen der größten Fehlschläge in Intels Entwicklungsgeschichte* eine Zeit lang nicht allzu schlecht aus.

*: Eine Klassifikation die beim Erfinder von Netburst nun wirklich etwas zu sagen hat. :-)
 
Langfristig ist auch die regionale Konzentration auf Taiwan eher kritisch zu sehen. China möchte Taiwan gerne wieder eingliedern und weltweit sind alle Tech-Konzerne mit nichts anderem beschäftigt, als ihre Produktion, dorthin auszulagern. Ich möchte nicht zu pessimistisch klingen, aber ich vermute, dass sich das noch rächen wird. Auch die Produktion von Samsung in Südkorea, mit dem irren Kim als Nachbarn, steht immer unter einem dunklen Schatten.

Wenn China mit mehr als einem Auge nach Taiwan schielt, sind hundertprozentig die Amis schneller dort, als jemand "Kriegsgefahr" rufen kann...
Die USA wissen auch, was dort alles an Technik, Werten und Wissen "herumliegt", dass werden sie China sicher nicht kampflos überlassen.
Wahrscheinlicher wäre aber dann ein "weltweiter" Boykott Chinas, was deren Wirtschaft zusammenbrechen (die steht sowieso nicht auf so festen Füßen, wie man vielleicht denkt!) und das Land ins Chaos stürzen lassen würde.
Die Chinesen wissen sehr wohl auch, was sie zu verlieren hätten, evtl. sogar "ihren" Kommunismus, denn in die Zeit von vor 60, oder 70 Jahren können sie nicht einfach so wieder zurückkehren.
 
Zurück