News Intel Core Ultra 9 285K: Spitzenmodell mit weniger Takt [Gerücht]

Alles klar also wieder alles beim alten AMD wird schneller effizienter und Intel wird Refreshing, was das Zeug hält, bis man auf Augenhöhe ist, in dem man den Brechstangemodus aktiviert und dann wieder zurückrudern muss, weil die Teile sterben.Jemand muss doch mal auf den Tisch hauen bei den Blauen und sagen so bis hier hin und nicht weiter, ich verstehe es einfach nicht. Ok, man ist der größte im OEM-Bereich, muss den auch abdecken, mit weiteren CPUs aber muss, dass sein ich meine bringt doch mal wieder vernünftige Prozessoren und keine Heizung.
 
Nein, das denke ich nicht.

Der deutlich niedrigere Takt ist wohl auf den neuen Fertigungsprozess zurückzuführen und nicht absichtlich von Intel intendiert.

Das soll ja auch bereits der Grund gewesen sein, weshalb Meteor Lake nicht in den Desktop gekommen ist.

Man hätte damit schlicht nicht einmal den eigenen Vorgänger schlagen können. Auch für Arrow Lake wird es schwer werden, sofern sich die Gerüchte zum Takt bestätigen sollten.

Ich bin wirklich mal auf Intels Next-Gen im Desktop gespannt.

Liebe Grüße Sven
Wobei das alles relativ ist. Klar kann es gegen einen offen betriebenen 14900K könnte es eng werden, aber ein im Limit betriebener 14900 dürfte von Arrow Lake locker vernichtet werden. In Summe wäre das Line-up also deutlich konkurrenzfähiger, wenn man die Effizienz mitbetrachtet.
 
Dann wäre die CPU am Ende zwischen 4 und 5 Jahre im Einsatz gewesen. Solange hat bis dato noch keine Plattform bei mir durchgehalten. Zumindest keine die als Arbeitsrechner täglich genutzt wird.:hail::hail::hail:AM4 und 5950X :love:
Vor meinen jetzigen System hatte ich fast 6 Jahre lang einen 2700X und davor 7 Jahre lang einen 2500K. :D

Mal gucken wie lange diese CPU bei mir durchhält.
 
Neue Fertigungsnodes haben generell immer ein geringeres Taktpotential das dann nach und nach gesteigert wird (vgl. beispielsweise Intel 14nm - angefangen bei 4,2 GHz max., beendet mit 5,3 GHz).
Die Regel scheint aber nicht für TSMC zu gelten. Sowohl AMD als auch Nvidia haben da eigentlich recht konstant Taktsteigerungen trotz Fertigungssprung.
 
AMDs X3D CPUs zeigen ja sehr gut, dass Takt nicht alles ist. 5,5 GHz klingt doch ganz ok.

Ich bin auch sehr auf die Performance gespannt wenn es ohne SMT ist.
Ich wünsche mir schon lange eine gute CPU mit mind. 10 Kernen die von Grund auf ohne SMT/HT designt ist.

Ich möchte auch endlich wissen was AMD und Intel da so in der Schublade haben. Es wird wieder höchst interessant :sabber:
 
Alles klar also wieder alles beim alten AMD wird schneller effizienter und Intel wird Refreshing, was das Zeug hält, bis man auf Augenhöhe ist, in dem man den Brechstangemodus aktiviert und dann wieder zurückrudern muss, weil die Teile sterben.Jemand muss doch mal auf den Tisch hauen bei den Blauen und sagen so bis hier hin und nicht weiter, ich verstehe es einfach nicht. Ok, man ist der größte im OEM-Bereich, muss den auch abdecken, mit weiteren CPUs aber muss, dass sein ich meine bringt doch mal wieder vernünftige Prozessoren und keine Heizung.
Arrow Lake wird genau das tun: die Leistung bei geringerem Verbrauch bringen.
Nur neue Performancerekorde darf man vielleicht nicht erwarten, das kommt bei Intel wohl frühestens mit Panther Lake. Da müsste Arrow Lake schon unwahrscheinlich viel IPC zulegen, wenns anders kommen soll.
Spannend wird bei Arrow also IPC, Verbrauch und iGPU (Battlemage)
 
Ich bin auf Arrow Lake genau so gespannt wie damals auf Alder Lake, also wie ein Englischer Langbogen.
Arrow Lake ist wie Alder Lake damals eine neue Generation, also nicht wie Raptor Lake eine Verbesserung, sondern was neues.
Und Alder lake muss man so sagen, hat abseits seines Strombedarfs AMD gut eingeheizt.
Wer weiß vlt wirds ja irgendwann mal wieder eine Intel CPU?
wenn ich dann endlich Mechwarrior 5 mit 75 FPS spielen kann, wäre das mal was.
 
wenn ich den 5600x das 1. mal ausgelastet bekomme werd ich mich mal wieder umschauen
bei intel aber wohl nicht
die werden ja langsamer?! wtf
 
Die Regel scheint aber nicht für TSMC zu gelten. Sowohl AMD als auch Nvidia haben da eigentlich recht konstant Taktsteigerungen trotz Fertigungssprung.
Das ist bei tsmc genauso - nur ist der Prozess wenn AMD und Nvidia ihn benutzen schon der erste oder zweite Refresh. Der Originalnode der nicht hoch taktet ist vorher in kleinen Chips in Smartphones drin. ;-)
 
Und der taktet dann geringer als der Vorgänger Node zum Start? Oder wird die maximale Stufe vom Vorgänger Node mit der Start Stufe vom Nachfolger Node verglichen? ^^
Es gibt da ja verschiedene Refreshes und Auslegungen (beispielsweise n3, n3e, n3p usw. für den "3nm node"). Wenn man da den ersten early 3er mit dem ausoptimierten 5er vergleicht taktet der neue auch niedriger. Deswegen kann AMD ja nicht die erste Ausbaustufe vom 3nm für Zen5 benutzen (die in Handys schon lange verwendet wird) weil der dann eher keine 5 Ghz schaffen würde. Intel hat aber wenig Chancen, sie müssen ihren neuen 20A benutzen - oder bei Tsmc fremdfertigen (was ja auch wahrscheinlich teilweise passiert).
 
Intel hat aber wenig Chancen,
Außer sie würden ihren neuen Prozess auch zur Fremdfertigung nutzen. So kann man erste Erfahrungen sammeln und ist nicht darauf angewiesen die erste Iterationsstufe direkt für Hochfrequenz Produkte wie Desktop CPUs zu nutzen. Das hat natürlich den Nachteil dass alles noch länger dauert. Andererseits klappt es bei TSMC ja auch :ka:
 
Ich bin für eine weitere Zwischenlösung 15900KS mit 6,66 Ghz - das notwendige Baselineprofil kann man ja 6 Monate später nachreichen, damit die CPU die 2 Jahre Garantie möglichst unbeschadet übersteht. ^^ ;-P

Ne mal im Ernst: ich finde es echt enttäuschend und auch irgendwie alamierend, dass Intel seit gefühlt 10 Jahre immense Probleme mit der Entwicklung und Produktion neuer Fertigungstechnologien und Produktserien an sich hat.
Seitdem man sich damals mit der Umstellung auf ein nicht realisierbares 10nm System übernommen hatte, doktort man nur noch herum, anstatt sich mal auf realistische Werte zu einigen und dann von diesem Standpunkt aus erneut Fahrt aufzunehmen und AMD den Kampf anzusagen.
Es werden die gleichen Fehler wiederholt und am Ende müssen es irgendwelche Krüppelchips im Mobilbereich und Brechstangenrestbestände aus der Rumpelkammer richten.
Und zu allem Überfluss startet man zu dieser schweren Zeit, wo aber auch wirklich alles schief läuft, einen weiteren "Angriff" im GPU-Sektor, der im Prinzip noch viel aussichtloser ist.
Solche Fehler kennt man schon aus der Geschichtsschreibung, dass ein "2-Frontenkrieg" gerne mal böse endet.
Das will ich natürlich nicht hoffen, aber Intel muss sich wirklich langsam mal Gedanken darüber machen, ob man nicht irgendetwas anders machen sollte, um wieder wettbewerbsfähiger zu werden.
Denn vom einstigen Technologieführer ist ja nicht mehr wirklich viel übrig.
Irgendwie sind wir gerade wieder in so einer Art AMD64-Zeitzone, wo Intel mit Itanium total Schiffbruch erlitten hat und nur mittels AMD's Lizenz einen eigenständigen Prozessor auf die Beine stellen konnte, um am Markt verbleiben zu können.
 
Zuletzt bearbeitet:
Die Umstellungsprobleme hat Intel in der Tat seit 10 Jahren und somit schon länger als 10 nm. Auch 14 nm startete ein halbes Jahr lang gar nicht im Destkop und dann mit dramatisch niedrigeren Taktraten, kam erst in der zweiten Generation in die Gänge und erst in der dritten richtig in Fahrt. 10 nm war dann zwar eine absolute Katastrophe, aber das ~5 nm jetzt nicht besser als 14 nm läuft, ist erstmal keine große Überraschung.

Panther Lake meint Alki wohl. 2025


Aber ja, Arrow Lake scheint schon länger eine Übergangslösung zu sein. Welche Arch hat Intel eigentlich gemeint, als si von unbestrittener Führerschatmft (2025?) geredet haben? Panther Lake wohl kaum und Nova Lake steht erst frühestens 2026 an, da ist AMD aber auch schon mit Zen 6 ums Eck

Gar keine Architektur. Mit der war Intel laut eigener Aussage damals schon führend. (Wenige Wochen vor dem Alder-Lake-Launch, also noch mit Rocket Lake gegen Zen 3, eine steile Ansage.) Es fehlte nur noch die passende Fertigung. Da hat man bis 2024 Gleichstand und bis 2025 "unquestioned leadership" versprochen, wobei die Roadmap schon damals Intel 7 => Intel 4 => Intel 3 => Intel 20A => Intel 18A lautete, also dürften letztere beiden Prozesse gemeint sein.

Ich persönlich habe aber so meine Zweifel, ob damit die gleichen gemeint waren, die wir unter diesen Namen jetzt bekommen sollen. Vielleicht seitens der Technik, aber die PRler, die Pats Versprechen damals weitergegeben haben, versicherten mir gegenüber, das zum Beispiel Intel 4 => Intel 3 ein Full-Node-Sprung wäre. Da wurde jetzt ein Half Node draus und der damals für Intel 3, also für einen Full Node nach Intel 4, gehandelte Lunar Lake wird jetzt zur 20A-Generation gezählt. (Also weiterhin ein Full Node nach Intel 4, aber unter anderem Namen.) Panther Lake stand damals noch gar nicht auf der (Gerüchte-)Roadmap, nur Meteor => Lunar => Nova.
 
Intel scheint Probleme zu lieben aber erst die Ankündigung mit dem Sockel 18xx der quasi dann nur

für eine Generation da ist da ja der Nachfolger schon 2026 auf dem Markt kommen soll und jetzt auch noch

das :-)

Da fällt es mir leicht mit der neuen Wahl AM5 Board + R7 7700X + 32 GB DDR5 und ne weile Ruhe .

Da kann ich später dann immer noch auf die 9000er Reihe wechseln.
 
Die Umstellungsprobleme hat Intel in der Tat seit 10 Jahren und somit schon länger als 10 nm. Auch 14 nm startete ein halbes Jahr lang gar nicht im Destkop und dann mit dramatisch niedrigeren Taktraten, kam erst in der zweiten Generation in die Gänge und erst in der dritten richtig in Fahrt. 10 nm war dann zwar eine absolute Katastrophe, aber das ~5 nm jetzt nicht besser als 14 nm läuft, ist erstmal keine große Überraschung.
Zum einen hat man es damals mangels Konkurenz einfach nicht gemerkt, zum anderen waren die Probleme halt nie in dem Ausmaß wie heuer gegeben. Für wann war Intel 7 (oder 10nm) angekündigt? 2016? Dementsprechend wäre Intel 20A wann an der Reihe gewesen?

und bis 2025 "unquestioned leadership"
wie wollen die das schaffen? Aktuell ist nicht einmal klar, ob man 2024 mit 18A wirklich kommt und dabei ist noch nicht einmal absehbar ob 18A mit TSMCs N3 fertig wird. Bis dahin sollte aber auch N3P verfügbar sein (sofern jemand will), denn so wie es aussieht geht AMD mit N4 (also einem 5nm Prozess) in den Ring und wird aller Voraussicht nach auch Arrow Lake schlagen (wobei ich davon ausgehe, dass Intel enorme Zugewinne im Bereich der Effizienz zeigen wird und der Chip ggfls. sogar AllCore an die 5.5Ghz herankommt). Aber alles in allem, um die Technologieführerschaft zu haben, reicht 18A niemals aus, denn TSMC kommt nächstes Jahr mit seinem N2 Prozess (für Apple) und wird damit ziemlich sicher im Bereich 14A liegen, was aber in der letzten Roadmap seitens Intel für 2026 prognostiziert ist!

Also, wie voll will Intel den Mund nehmen?

ch persönlich habe aber so meine Zweifel, ob damit die gleichen gemeint waren, die wir unter diesen Namen jetzt bekommen sollen.
kann ja nicht!
 
Gar keine Architektur. Mit der war Intel laut eigener Aussage damals schon führend. (Wenige Wochen vor dem Alder-Lake-Launch, also noch mit Rocket Lake gegen Zen 3, eine steile Ansage.)
oh lol, ich dachte man bezieht sich dabei auch auf die dann erscheinende, überarbeitete Architektur
Es fehlte nur noch die passende Fertigung. Da hat man bis 2024 Gleichstand und bis 2025 "unquestioned leadership" versprochen, wobei die Roadmap schon damals Intel 7 => Intel 4 => Intel 3 => Intel 20A => Intel 18A lautete, also dürften letztere beiden Prozesse gemeint sein.
interessant ja.
Meine erste Info war immer schon -> Intel 3= Intel 4+High density IO Fins, Intel 18A war ursprünglich High NA, später als Option und jetzt ist 18A ebenso wie Intel 3 die verbesserte 20A Version, während die High NA Variante wohl 14A heißt.
Dafür dachte ich mich erinnern zu können, dass GAA erst mit 18A kommen sollte.
Abgesehen davon gibts ja zig Sondervarianten (mit Backside Power Delivery, ohne...)
Ich persönlich habe aber so meine Zweifel, ob damit die gleichen gemeint waren, die wir unter diesen Namen jetzt bekommen sollen.
Vielleicht seitens der Technik, aber die PRler, die Pats Versprechen damals weitergegeben haben, versicherten mir gegenüber, das zum Beispiel Intel 4 => Intel 3 ein Full-Node-Sprung wäre.
Das ist so wie die ursprünglichen Pläne die bei Intel "7nm" (also... das was heute wohl Intel 3 ist?) mal von 2,7x density sprachen... ja da wird viel nach hinten gegeben, damit der Prozess selbst ja im Zeitrahmen bleibt. Es ist wohl bei Intel jetzt auch angekommen, dass man nicht gleich den Fixfertigen + optimierten Prozess bringen kann, sondern erstmal die neue Technik, später die Evolutionsstufen, wie bei 14++ und 10+++, wobei letzterer dann vielleicht tatsächlich dem nahe kommt, was mal als 10nm geplant war.
 
Ich vermute auch, dass sie bei dem Spruch mit der Architektur gedanklich schon bei Alder Lake waren. Aber offiziell hatten Intel damals noch nichts dazu zu sagen, erst rund einen Monat später war der Architecture Day zu Golden Cove.^^ Außerdem wurde Intel 7 als erster von "5 in 4" Nodes gezählt – für die "davor" Architekturbeurteilung schon die erste Intel-7-CPU heranzuziehen, wäre also ein unzulässige Beschönigung gewesen. Bleiben als offiziell zulässiger Bezugspunkt nur Rocket, Tiger und Ice-SP. :-P

Wobei man fairerweise sagen muss: Wenn man nur die Architektur ohne Fertigung betrachtet, war Willow/Cypress Cove, nicht so schlecht. Das Intel-CPUs im Sommer 2021 derart abgeschlagen waren, lag nicht an der Architektur, sondern am Rückstand von Intel 14 nm gegenüber TSMC N7. Die "unquestioned leadership" schien also tatsächlich nicht aus der Luft gegriffen, wenn man "5 full nodes in 4 years" so gebracht hätte. Mit "diversen Zwischenschritten sowie zwei weiteren Full Nodes in vier Jahren" wird man, ausgehend von dem Gleichzug Intel 7 <-> TSMC N6 und gegenüber N6 => N4 => N3P, dagegen bestenfalls auf Augenhöhe rauskommen – wenn 18A gegenüber Intel 3 tatsächlich ein Full-Node-Sprung wird und erscheint, bevor N2 eine Rolle spielt. Ansonten streitet man sich bestenfalls weiterhin mit AMD um Platz 2 hinter Apple.

Bezüglich den einzelnen Techniken: Dazu gab und gibt es wechselnde Interpretationen sowohl je nachdem wen man fragt als auch wann. Intel selbst hat High-NA von Anfang an nur als Option für 18A gehandelt und zu dem Rest nichts gesagt. Letztlich ist es aber auch egal, wie man die Leistungsverbesserung eines neuen Nodes realisiert. Wichtig ist, wie groß sie ausfallen und da galt 2021, als Pat mit "4 in 5" und "unquestioned leadership" auftrat, halt noch: Ein Node ist ein Full Node. Das haben Intel-Mitarbeiter auf Nachfrage auch bestätigt. Wann man intern wusste, dass diese Suggestion falsch ist, kann ich von außen nicht beurteilen – halte es aber für möglich, dass selbst die Führungsriege erst auf der langen Durststrecke zwischen Intel 4 und Intel 7 realisierte, dass man die Roadmap strecken muss. Zwischennamen war man damals jedenfalls nur von den Marktschreiern in Taiwan und Korea gewohnt, aber die haben ja auch eine "7" an ihren ersten marktreifen Prozess der 10-nm-Klasse geklebt. Den "real men with fabs" waren Verbesserungen in laufenden Nodes allenfalls ein (zwei, drei, vier) Plus wert.
 
Zurück