Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

PCGH-Redaktion

Kommentar-System
Teammitglied
Jetzt ist Ihre Meinung gefragt zu Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Intels Serienproduktion in 10 nm verschiebt sich auf 2019, das verkündete das Unternehmen bereits Ende April. In einer aktuellen Konferenz sprach Intel CEO Krzanich über den aktuellen Stand der Dinge. Dabei reißt er die Gründe für die Verzögerung an und verspricht, dass sich dies beim 7-nm-Prozess nicht wiederholen wird.

Bitte beachten Sie: Der Kommentarbereich wird gemäß der Forenregeln moderiert. Allgemeine Fragen und Kritik zu Online-Artikeln von PC Games Hardware sind im Feedback-Unterforum zu veröffentlichen und nicht im Kommentarthread zu einer News. Dort werden sie ohne Nachfragen entfernt.

lastpost-right.png
Zurück zum Artikel: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Es gibt dazu noch weitere Vermutungen. Vom Cobaltproblem laß ich schon auf anderen Seiten, neben Multi-Patterning der zu hohen Veränderung der Dichte, sicherlich eines der Hauptgründe. Die anderen Foundrys kommen teilweise noch mit Kupfer aus, was zu mehr Yield führt.

Multi-Patterning
In the front end of the line all four companies are using Self Aligned Quadruple Patterning (SAQP) with multiple cut masks for Fin formation and Self Aligned Double Patterning (SADP) for gate formation. At contact some versions of Litho-Etch are used, either Litho-Etch-Litho-Etch (LE2), or Litho-Etch-Litho-Etch-Litho-Etch (LE3) or even LE4 (EUV for Samsung). These are all similar between the companies except for Samsung's use of EUV. In the Back End Of Line (BEOL) is where we see a significant differences. GF and TSMC both use SADP for critical metal layers, Intel uses SAQP for 2 metal layers and Samsung is expected to use EUV for critical metal layers.

We believe GF and TSMC are both ramping yield on schedule. It is possible that the yield issues Intel is seeing are related to SAQP in the BEOL. BEOL metal layers require multiple block layers and this is complex to implement. The first block layer would remove the layers needed for subsequent block layers, the way this is addressed is block layers are applied as reverse images and then once all the block layers are done, the whole pattern is reversed. Implementing multiple block layers in concert with SAQP versus fewer block layers at SADP is more difficult. This could explain why multi-patterning may be more difficult at Intel. Intel has a 36nm pitch in the BEOL versus GF and TSMC at 40nm and the smaller pitch is more difficult to achieve. We don't know much about Samsung's process yield ramp or exact specifications but certainly their early use of EUV may presents some challenges for them and we wouldn't be surprised if Samsung encounters yield issues as well.

Cobalt Usage
I have also seen comments about cobalt usage suggesting Intel's use of cobalt may be the issue. The first comment I want to make here is everyone is using cobalt, not just Intel although there are differences in usage.

- Liners/caps - we believe all four companies are using cobalt for liners and caps on critical metal layers. Historically liners are Ta/TaN and switching to Co/TaN improves electromigration and the copper "wetting" during processing. Cobalt caps on top of the metal lines also improve electromigration.

- Contacts - we believe all four companies will also use cobalt filled contacts although there may be differences in how it is deposited (more on this later).

- Local interconnect - Intel uses cobalt filled metal lines for M0 and M1, GF does not and we don't think TSMC does either. A key here is that as interconnect pitch shrinks, copper resistance goes up and eventually cobalt becomes a lower resistance solution. We believe Intel went to cobalt because it is beneficial for resistance at 36nm, with GF and TSMC at 40nm they likely didn't see the need. We are curious to see what happens with Samsung, we believe they may also have a 36nm minimum metal pitch and it will be interesting to see if they use cobalt interconnect. They are co-authors on technical papers for 7nm with cobalt M0 so they have certainly looked at it.

We know that GF uses CVD to deposit cobalt for their cobalt filled contact and we have heard that Intel deposits cobalt with plating. We have also heard that Intel may have void issues. Perhaps plating cobalt is creating some cobalt issues, we do not think there are fundamental issues with cobalt.

Conclusion
I believe Intel's comment on multi-patterning issues is probably the driver of their yield problems. They were more aggressive in their shrink than others and getting to 36nm minimum metal pitches with SAQP and multiple block layers is in my opinion the likely problem.

Cobalt may also be a contributor but I don't think it is the main problem.

SemiWiki.com - Intel 10nm Yield Issues
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

10nm für 2015 geplant, und erst 2019 dann größere Stückzahlen, ist natürlich dass Worst-Case-Szenario für Intel.
TSMC aber auch Samsung können so extrem aufholen.
Das führt zu der verrückten Situation das AMD eher in 7nm produzieren lassen kann als Intel später in 10nm.

Der einstige Vorsprung von Intel in der Fertigung ist damit komplett weggeschmolzen, und dass obwohl Intel in den letzten 15 Jahren sehr viel Geld in die eigene Fertigung gesteckt hatte.

Volker schrieb:
Auf die Frage eines Aktionärs, wie Intel denn im kommenden Jahr gegen AMDs 7-nm-Serverprozessor Rome antreten will, erklärte Intels Chef, dass die 10-nm-Produkte spätestens dann bereit sei bzw. sein muss. 10 nm von Intel entsprechen so ziemlich den 7 nm in der Marketing-Sprache der Foundrys TSMC, Samsung und Globalfoundries – es wäre der erste Patt seit vielen Jahren.
CPU-Fertigung mit EUV: Intel schliesst die meisten 10-nm-Probleme fur 7 nm aus - ComputerBase
 
Zuletzt bearbeitet:
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

10nm für 2015 geplant, und erst 2019 dann größere Stückzahlen, ist natürlich dass Worst-Case-Szenario für Intel.
TSMC aber auch Samsung können so extrem aufholen.
Das führt zu der verrückten Situation das AMD eher in 7nm produzieren lassen kann als Intel später in 10nm.

Der einstige Vorsprung von Intel in der Fertigung ist damit komplett weggeschmolzen, und dass obwohl Intel in den letzten 15 Jahren sehr viel Geld in die eigene Fertigung gesteckt hatte.

Man muss allerdings zugeben, dass die verschiedenen Techniken eben nur "Namen" sind und nichts mit der realen Fertigungsgröße zu tun hat. Und demnach wird Intel wohl immer noch etwas kleiner Fertigen. Sowohl Intels 10nm, als auch die 7nm der Konkurrenz gelten als letztes Fertigungsverfahren ohne EUV und sollten demnach Recht ähnlich sein (ich persönlich ging von reellen etwa 32nm aus aber scheinbar liegen wir da noch drüber)...
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Man muss allerdings zugeben, dass die verschiedenen Techniken eben nur "Namen" sind und nichts mit der realen Fertigungsgröße zu tun hat. Und demnach wird Intel wohl immer noch etwas kleiner Fertigen. Sowohl Intels 10nm, als auch die 7nm der Konkurrenz gelten als letztes Fertigungsverfahren ohne EUV und sollten demnach Recht ähnlich sein (ich persönlich ging von reellen etwa 32nm aus aber scheinbar liegen wir da noch drüber)...

Intels 10nm Verfahren soll ja in etwa den 7nm Verfahren von GloFo oder TSMC entsprechen. Intel scheint da bei der Benennung etwas konservativer zu sein.
Interessant wird es dann, wenn EUV ins Spiel kommt. Wüsste gerne mal wie viele Wafer man schon pro Stunde schafft. EUV ist ein sehr energieintensives Verfahren, da muss der Output entsprechend hoch sein damit es wirtschaftlich bleibt.
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

die Frage ist halt ob dann AMD an Intel vorbei zieht (wenn sie bei der aktuellen Fertigung bleiben)? der i7 8700k mit OC zieht ja schon mehr Leistung als der 2700x, bin ja mal gespannt was dann der 8 Kerner ziehen soll oder ob dann OC deutlich runter geht ?
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Intels 10nm Verfahren soll ja in etwa den 7nm Verfahren von GloFo oder TSMC entsprechen. Intel scheint da bei der Benennung etwas konservativer zu sein.
Interessant wird es dann, wenn EUV ins Spiel kommt. Wüsste gerne mal wie viele Wafer man schon pro Stunde schafft. EUV ist ein sehr energieintensives Verfahren, da muss der Output entsprechend hoch sein damit es wirtschaftlich bleibt.

Die derzeitigen EUV-Lösungen sind noch nicht für die High-Volume Produktion geeignet. ASML bastelt da mit Hochdruck dran. Dazu kommt noch, daß die ersten 7nm Verfahren, so auch Intels 10nm noch kein EUV benutzen. Mit den nachfolgenden Verfahren, also 7nm+ bzw. 10nm+ werden die Karten dann neu gemischt.

Schon von 5nm zu reden, ist angesichts der Tatsachen totaler Quatsch. Außer natürlich ASML läßt etwas von sich reden. :)

SemiWiki.com - SPIE Advanced Lithography 2018 - ASML Update on EUV
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Was eben auch zeigt das auch Big Blue an Genzen stossen kann. Die Luft wird dünner ;).
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Intels 10nm Verfahren soll ja in etwa den 7nm Verfahren von GloFo oder TSMC entsprechen. Intel scheint da bei der Benennung etwas konservativer zu sein.
Interessant wird es dann, wenn EUV ins Spiel kommt. Wüsste gerne mal wie viele Wafer man schon pro Stunde schafft. EUV ist ein sehr energieintensives Verfahren, da muss der Output entsprechend hoch sein damit es wirtschaftlich bleibt.

Die Lichtquellen gelten nicht mehr als größtes Hindernis beim Einsatz von EUV. Zuletzt wurden vor allem Schutzfilme (Pellikel) für die Masken thematisiert. Da fehlt es bislang an einem für EUV ausreichend durchlässigem Material. Nicht nur, dass bisherige Substanzen zu wenig EUV-Licht auf den Wafer gelangen lassen, sie heizen sich auch extrem stark auf und zerstören sich damit nicht nur selbst, sondern gegebenenfalls auch die Maske. Ohne Pellikel riskiert man aber eine enorm schlechte Produktionsausbeute, denn einzelne Staubkörner können dann nicht nur auf dem Wafer einzelne Chips unbrauchbar machen, sondern auf der Maske alle der Stelle entsprechende Chips auf allen Wafern, bis der Fehler bemerkt wird. Und von der ersten Belichtung bis zu den ersten Tests am Ende der Fertigung können einige Wochen vergehen. (Meine private Vermutung, warum Intel schon vor zwei Jahren funktionierende 10-nm-CPUs zeigen konnte und jetzt mit einem zur Hälfte deaktivierten Modell startet: Sie haben nach einem Jahr Serienproduktions-Versuchen ein ganzes Lagerhaus voll teildefekter Chips.)
 
Zuletzt bearbeitet:
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Das führt zu der verrückten Situation das AMD eher in 7nm produzieren lassen kann als Intel später in 10nm.

Der einstige Vorsprung von Intel in der Fertigung ist damit komplett weggeschmolzen, und dass obwohl Intel in den letzten 15 Jahren sehr viel Geld in die eigene Fertigung gesteckt hatte.


CPU-Fertigung mit EUV: Intel schliesst die meisten 10-nm-Probleme fur 7 nm aus - ComputerBase

Ich glaube die Gate Größe ist bei Intels 10 NM Fertigung immernoch kleiner als TMSC oder Globofoundries 7 NM Fertigung. Von daher sehe ich da immer noch Intel leicht vorne. Aber klar die Foundries haben dadurch stark aufgeholt und sind dann fast alle gleich auf.
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

die Frage ist halt ob dann AMD an Intel vorbei zieht (wenn sie bei der aktuellen Fertigung bleiben)? der i7 8700k mit OC zieht ja schon mehr Leistung als der 2700x, bin ja mal gespannt was dann der 8 Kerner ziehen soll oder ob dann OC deutlich runter geht ?

Du vergleichst einen übertakteten 8700K mit einem 2700X. Dir ist schon klar, dass der Vergleich unsinnig ist?

Die Lichtquellen gelten nicht mehr als größtes Hindernis beim Einsatz von EUV. Zuletzt wurden vor allem Schutzfilme (Pellikel) für die Masken thematisiert. Da fehlt es bislang an einem für EUV ausreichend durchlässigem Material. Nicht nur, dass bisherige Substanzen zu wenig EUV-Licht auf den Wafer gelangen lassen, sie heizen sich auch extrem stark auf und zerstören sich damit nicht nur selbst, sondern gegebenenfalls auch die Maske. Ohne Pellikel riskiert man aber eine enorm schlechte Produktionsausbeute, denn einzelne Staubkörner können dann nicht nur auf dem Wafer einzelne Chips unbrauchbar machen, sondern auf der Maske alle der Stelle entsprechende Chips auf allen Wafern, bis der Fehler bemerkt wird. Und von der ersten Belichtung bis zu den ersten Tests am Ende der Fertigung können einige Wochen vergehen. (Meine private Vermutung, warum Intel schon vor zwei Jahren funktionierende 10-nm-CPUs zeigen konnte und jetzt mit einem zur Hälfte deaktivierten Modell startet: Sie haben nach einem Jahr Serienproduktions-Versuchen ein ganzes Lagerhaus voll teildefekter Chips.)

Ich wollte auch nur zum Ausdruck bringen, dass es nicht gerade das effizienteste Verfahren ist. Natürlich bringt das EUV-Licht da viele Probleme mit sich. Es ist aber auch sehr interessant wie man das EUV-Licht erzeugt.
 
Zuletzt bearbeitet:
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Die Lichtquellen gelten nicht mehr als größtes Hindernis beim Einsatz von EUV. Zuletzt wurden vor allem Schutzfilme (Pellikel) für die Masken thematisiert. Da fehlt es bislang an einem für EUV ausreichend durchlässigem Material. Nicht nur, dass bisherige Substanzen zu wenig EUV-Licht auf den Wafer gelangen lassen, sie heizen sich auch extrem stark auf und zerstören sich damit nicht nur selbst, sondern gegebenenfalls auch die Maske. Ohne Pellikel riskiert man aber eine enorm schlechte Produktionsausbeute, denn einzelne Staubkörner können dann nicht nur auf dem Wafer einzelne Chips unbrauchbar machen, sondern auf der Maske alle der Stelle entsprechende Chips auf allen Wafern, bis der Fehler bemerkt wird. Und von der ersten Belichtung bis zu den ersten Tests am Ende der Fertigung können einige Wochen vergehen. (Meine private Vermutung, warum Intel schon vor zwei Jahren funktionierende 10-nm-CPUs zeigen konnte und jetzt mit einem zur Hälfte deaktivierten Modell startet: Sie haben nach einem Jahr Serienproduktions-Versuchen ein ganzes Lagerhaus voll teildefekter Chips.)

Ich komm nicht mit, also man hat Probleme mit EUV, das verstehe ich. Aber bei 7nm will man dann einfach zu optischen Systemen zurück um diese Problem wieder auszuräumen, wird dann sicher aber doch vor andere gestellt, sonst hätte man den Schritt zu EUV ja auch sein lassen können?
Es gab sicher gute Gründe die optische Methode derart auszureizen, und das waren sicher die Probleme mit EUV. Also sind diese trotz des Durchbruchs bei der Strahlenquelle noch schwerwiegender als die ganzen Tricks die man anwenden muss, um mit einer 193nm Lichtquelle Strukturen unterhalb von 10nm zu fertigen. Oder gehts dann mit X-Ray los? Da werden die Probleme auch nicht verschwinden?
Und warum hat man das dann bei der 10nm Fertigung nicht auch so gemacht, Downgrade bei der Litho, nachdem klar wurde das dies alles ein wenig schwierig wird?

Also mich kann das jetzt weniger beruhigen, was der nette intel-Chef da erzählt. Löst eher komplettes Unverständnis aus. Was machen die anderen Halbleiter-Hersteller eigentlich so, die arbeiten alle wohl noch mit 193nm ArF-Excimerlaser?
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

10nm für 2015 geplant, und erst 2019 dann größere Stückzahlen, ist natürlich dass Worst-Case-Szenario für Intel.
TSMC aber auch Samsung können so extrem aufholen.
Das führt zu der verrückten Situation das AMD eher in 7nm produzieren lassen kann als Intel später in 10nm.

Der einstige Vorsprung von Intel in der Fertigung ist damit komplett weggeschmolzen, und dass obwohl Intel in den letzten 15 Jahren sehr viel Geld in die eigene Fertigung gesteckt hatte.

Intel hat zwar viel investiert, aber meiner Meinung nach eher auch geschlafen, weil AMD nichts gebracht hat.
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Ich komm nicht mit, also man hat Probleme mit EUV, das verstehe ich. Aber bei 7nm will man dann einfach zu optischen Systemen zurück um diese Problem wieder auszuräumen, wird dann sicher aber doch vor andere gestellt, sonst hätte man den Schritt zu EUV ja auch sein lassen können?
Es gab sicher gute Gründe die optische Methode derart auszureizen, und das waren sicher die Probleme mit EUV. Also sind diese trotz des Durchbruchs bei der Strahlenquelle noch schwerwiegender als die ganzen Tricks die man anwenden muss, um mit einer 193nm Lichtquelle Strukturen unterhalb von 10nm zu fertigen. Oder gehts dann mit X-Ray los? Da werden die Probleme auch nicht verschwinden?
Und warum hat man das dann bei der 10nm Fertigung nicht auch so gemacht, Downgrade bei der Litho, nachdem klar wurde das dies alles ein wenig schwierig wird?

Also mich kann das jetzt weniger beruhigen, was der nette intel-Chef da erzählt. Löst eher komplettes Unverständnis aus. Was machen die anderen Halbleiter-Hersteller eigentlich so, die arbeiten alle wohl noch mit 193nm ArF-Excimerlaser?

Sowohl als auch.
Man hat Probleme, die EUV-Belichtung in den Griff zu bekommen und das liegt an den optischen Eigenschaften von EUV. Mit einer halbwegs geeigneten Lichtquelle ist es noch nicht getan, man braucht auch Optiken (wegen dem nicht-axialen Aufbau sehr aufwendig, aber beherrschbar), Lacke und Masken nebst Zubehör. Und das könnte mit ein Faktor für die Verzögerung bei Intels 10-nm-Prozess sein, denn andere Hersteller planen für ihre vergleichbaren 7-nm-Nodes mit EUV (in Großserie arbeitet meinem Wissen nach noch niemand damit) und auch Intel hat vor einigen Jahren noch darüber gesprochen, während jetzt wieder 193-nm-SAQP das schwer mögliche möglich machen soll.
Allgemein wird im Moment von einem fließenden Übergang ausgegangen: SAQP schränkt die Strukturmöglichkeiten ein und ist chemisch komplex, also teuer. LE4 braucht enorm viele Belichtungen und ist somit fehleranfällig, also teuer. EUV hat große Probleme mit Verunreinigungen respektive Haltbarkeit und ist langsam, also teuer. Am Ende läuft es also immer auf den Preis hinaus und irgendwann in einer vermutlich nahen Zukunft werden wenige EUV-Belichtungen trotz aller Probleme weniger Ausschuss produzieren, als x-faches Litho-Etch mit 193 nm. Und in 10 Jahren wiederholt sich das Spielchen dann mit SA?P in EUV. ;-)
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Sicherlich hat Intel mit ihrem 10nm Prozess gegenüber TSMC/Glofo’s 7nm noch einen kleinen Vorteil, aber der große Fertigungsvorsprung, den Intel seit Ewigkeiten inne hatte, ist nun endgültig Geschichte. Es wird richtig spannend werden!
 
AW: Intel: CEO spricht über 10-nm- und 7-nm-Fertigungsprozess

Sicherlich hat Intel mit ihrem 10nm Prozess gegenüber TSMC/Glofo’s 7nm noch einen kleinen Vorteil, aber der große Fertigungsvorsprung, den Intel seit Ewigkeiten inne hatte, ist nun endgültig Geschichte. Es wird richtig spannend werden!

Sehe ich genauso. Somit ist auch wieder ein annähernd fairer Wettbewerb möglich, welcher die Preise stabilisieren wird.
 
Zurück