News Quartalszahlen: Intel kämpft mit starkem Umsatzrückgang und macht Gewinn

Ich denke es geht wieder aufwärts mit Intel. Man merkt das Pat Gelsinger ahnung von der Materie hat, nicht wie sein Vorgänger nur Gewinne und Prvisionen im Kopf hatte, und damit den Vortschritt bei Intel auf null gebracht hat. Auch wenn die Technischen Sprünge noch klein sind, so sind sie da. AMD hat da sicher auch mitgeholfen da sie endlich konkurenzfähige Produkte liefern. Für uns Konsumenten ist wichtig dass es möglichst viele starke Firmen gibt welche sich konkurrieren, sonst fallen wir auf den Stand von 2018 zurück wo 2% leistungssteigerung 10-15% gekostet haben. Wenn noch die Coronaanamolie ausgeglichen würde....
 
Lunar Lake werde ich kaufen. Als eine der letztern Intel CPUs wird das ein Sammlerstück, denn eine Zukunft hat das Unternehmen nicht, so wie man technologisch von AMD abgehängt wurde.
 
Lunar Lake werde ich kaufen. Als eine der letztern Intel CPUs wird das ein Sammlerstück, denn eine Zukunft hat das Unternehmen nicht, so wie man technologisch von AMD abgehängt wurde.
Für mich sieht das eher so aus, als ob Intel nur im Gaming-Bereich abgehängt wird. Im Productivity-Bereich würde ich wegen der P/E-Core-Architektur deutlich eher zu Intel greifen.
 
Für mich sieht das eher so aus, als ob Intel nur im Gaming-Bereich abgehängt wird. Im Productivity-Bereich würde ich wegen der P/E-Core-Architektur deutlich eher zu Intel greifen.
Naja was heißt da Vorteil,nur wenn die Programme damit klar kommt oder das OS super mitspielt.Wenn man das so wie ich hat,dann bringt das wenig.Bei mir machte Windows 11 Probleme.Bei Windows 10 spielen die e Kernen nicht so mit.Allerdings habe ich noch nicht ausprobiert ob die Anwendung mit vielen e Kernen Probleme hat oder wirklich nur mit dem Betriebsystem.Auf jedenfall als feste zuweisung der Kerne gemacht hatte,lief es stabil und auch richtig rund.Die Leistung war dann mit Hilfe dann so wie man es sich Vorstellt.
Jedenfalls optimal ist was anderes,wenn man da ständig nachhelfen muss.Und das obwohl meine Anwendung dafür bekannt sind ne gewisse Anzahl an Kernen zu Profitieren.
Empfehle ruhig weiter(sollte ne Ironie sein) solche Menschen wie mir sowas,auch wenn die Entäuschung groß ist und man so nicht zufrieden ist.
 
Wenn man das so wie ich hat,dann bringt das wenig.Bei mir machte Windows 11 Probleme.
Was für Probleme hast Du denn mit den E-Cores wenn ich fragen darf? Ich habe mich ja schon mehrfach als "E-Cores Fan" geoutet, für mich sind sie ein wahrer Segen nach dem 5950X Debakel. Probleme mit ihnen unter Windows 11 hatte ich von 02/2022 bis heute keine. Ich frage aus Interesse, vielleicht betrifft es mich ja auch.

Ryzen 7000X3D gab es noch nicht deswegen wurde es ein Alder Lake damals. Bin aber auf der Suche nach einem Ersatz für den 5950X und schiele daher auf zukünftige ZEN CPUs wenn bei intel nichts mehr kommt. Doch nach den guten Erfahrungen mit den E-Cores hätte ich die gerne weiter dabei.

Ich nutze sie Quasi als Extra CPU in der CPU. Meine Software läuft dediziert nur auf den letzten beiden E-Cores und sie erledigen die Aufgabe mit ~8 Watt Verbrauch. 7700K und 9900K haben dafür zwischen ~20-30 Watt gebraucht (weis die zahlen leider nicht mehr genau, jedenfalls sehr viel mehr) und der 5950X satte 80 Watt weil das teil sich weigert runter zu Takten, trotz ECO Modus. Ob Bug, Inkompatibilitäten oder schlichte Ineffizienz von ZEN3 ist ungeklärt.

Beim Spielen ist mir nichts negatives aufgefallen bisher. Auch Videos im Batch verarbeiten bei 24 Threads geht deutlich schneller als mit den 32 Threads des 5950X, deswegen kann ich nur positives über die E-Cores sagen. Ich habe mich nach Windows 7 für Windows 11 entschieden, unter anderem wegen dem Thread Director. Ob es was gebracht hat kann ich nicht sagen, jedenfalls gab es keine Probleme.
 
@MicroProse

Ich gebe zu mit verbindung zu Windows 11 hatte einer sowohl mit dem ryzen 9 7950x als auch mit Intel i9 13900k unter verwendung von Windows 11 generell Probleme.
Aber mit der Intel CPU war das Verhalten eben ausgeprägter gewesen.
Da ich mit nur einer Umwandlungssoftware (wohl den Settings was ich so fahre geschuldet) Probleme des Optimalen Auslasten habe,nahm ich seid dem immer 2 gleichzeitig neben her.Das klappte auch bisher ganz gut,aber bei Windows 11 ist ein Sonderfall wo ich Probleme habe.Ich selbst besitze diese zwar nicht aber durch Teamview durfte ich selbst das testen was ich wollte.Habe auch alles so getestet wie ich es halt immer teste.Damit ich weis wie die Hardware so skaliert und auch die richtige Leistung weis,mit selben Software wie auch selbe Version,selbe Quell Dateien und auch selbe Setting wie ich so fahre.
Dabei gab es so einige Probleme.Intel scheint hier massive Probleme zu haben.So ist die erste Aufnahme super schnell,die andere Aufnahme ist 1 Minute langsamer als die erste.Und die Kernauslastung ist unruhiger als bei AMD.SIeht man sehr gut an dem Diagramm das stäntig rauf und runter skaliert.Ich tippe das diese Software Probleme mit den E kernen hat.So ein Verhalten ist bei mir bisher nicht aufgefallen.Bei AMD waren vom 16 Kerner 8 Threads bei SMT nicht angesprochen,8 Threads bei SMT aber zu 100 % und die Logischen Kerne ebenso zu 100% Ausgelastet.Das war bei meinem 5950x etwas anderst,weil da sind nur 2 Threads mit 0% ausgelastet,SMT zu 50% und der rest voll Ausgelastet.
Aber gut,ich kann nun nicht sagen warum das so alles ist,aber scheinbar hat das Programm Probleme damit.Und jedes mal weil hatte den test bei Intel mehrmals gestartet gehabt.Das Ergebnis war jedesmal anderst gewesen.Und manchmal sogar noch viel schlimmer.Bei so schlechten Ergebnissen würde ich wohl lieber zum i9 9980xe greifen als mir das anzutuen.
Nach dem ich der jeweiligen Software fest die Kerne zugeordnet hatte,war das Ergebnis gleichmäßiger gewesen aber etwas langsamer sehr wohl auch.Also egal was ich mit dem 13900k gemacht hatte,das wollte nicht so.Klar gab es auch ein gutes Ergebnis,aber es war nur Glück. Es brauchte mehrere Versuche um die CPU Optimal auszulasten.
Ich hatte dann auch genug davon,weil das Ergebnis wurde leider nicht besser.Es machte mehr Aufwand für die Kontrolle.
Ob diese CPU unter WIndows 10 optimaler hantiert kann ich nicht sagen,weil die meisten sind ja mit Windows 11 unterwegs.Sowas will ich mir auch nicht antuen.Ich gebe zu ich mache auch was anderes als nur Video Umzuwandeln,aber dafür brauche ich keine so starke CPU.

Nun warte ich auf dem Nachfolger von AMD und Intel ab und schaue was sich so tut.

Klar ist aber das ich es bei einem 7900x also mit 12 Kernen es durch feste zuordnung geschaft hatte beinahe an den 16 Kerner ran zu kommen.Auch da waren Probleme gewesen.Da benutzte ich dann Process Lasso dazu.Damit ist es gelungen diese CPU zu 100% Voll auszulasten.Mehr kann man aus einer CPU eben nicht mehr holen.Ich gebe zu das selbst unter diesen Bedingung ein 7950x nicht ganz erreicht wurde der ja auf 4,8 ghz gedrosselt war.Der 7900x lief mit 180 Watt und der 7950x mit 142 Watt.
Nun ja ich lerne das Kerne alleine nicht alles ist.Dennoch auch wenn das ein toller test war,sind mir 16 Kerne dann doch lieber.
Da kann ich nebem diesen Sachen auch noch was anderes mit der CPU machen.Das heißt das ganze bin ich dann flexibler.
 
@latiose88 Aha in Ordnung, danke fürs Antworten. Ich hatte beim Lesen Deines Posts gedacht, es gäbe grundsätzlich Probleme innerhalb von Windows 11 mit denen Du zu kämpfen hast wegen der E-Cores. Dann ist auch klar warum es bei mir keine Probleme gibt.

Bezüglich Video Umwandlung, ich nutze das nur für die Videos der Kompakt Kamera und meines Handys, die Videos sind im Original viel zu groß und auch zu unwichtig als das ich sie so speichern würde. Dafür nutze ich seit Ewigkeiten den Xilisoft Video Converter. Alle Videos rein, mein Profil auswählen und LOS! ...

Alle 24 Threads werden dann genutzt, zumindest wenn es beispielsweise 100 Videos sind. Hab es nie im Detail beobachtet aber ich denke wenn nur noch eine Datei am Ende übrig ist laufen nicht mehr alle 24 Threads. Jedenfalls hatte ich nach dem Kauf des Alder Lake Tests gemacht und er war schneller fertig als der 5950X mit seinen 32 Threads.

Kerne speziell zuweisen oder die Software 2x Starten oder ähnliches brauch ich jedenfalls nicht. Aber ist vermutlich ein ganz anderer Anwendungsfall als bei Dir also von daher alles gut :-)
 
Naja, der Ausblick wird meines Erachtens nach deutlich zu gut ausfallen und [...]
Niemand hat gesagt, dass es ein gutes Quartal für Intel war. Die umfangreichen Maßnahmen zeigen Wirkung und man arbeitet anscheinend erfolgreich dem Abwärtstrend entgegen und entsprechend scheint das auch der Markt insgesamt zu sehen ... dagegen von guten Quartalen kann man dort seit Corona nicht mehr sprechen, wobei, wenn man es genau nimmt i. V. z. den Jahren 2018/19 alles andere bei Intel nicht mehr gut sein kann. ;-)

Schauen wir mal was
20A bringt - Produkte (Lunar, Arrow Lake) 2024
18A, PowerVia und Nanosheets, die Partnerschaft mit Ericsson-2026?
Darüber hinaus: wie gut wird Lunar/Nova usw Lake, wie gut Battlemage (2024), Celestial (2026?)
Was die (technischen) Entwicklungen betrifft scheint man erst 2026 wieder voll dabei zu sein, sofern alles im Plan liegt
Die Daten sind fehlerhaft.
Intel 20A implementiert PowerVia alias Backside Power Delivery und GAA, also das, was du als "Nanosheets" bezeichnest, d. h. bereits Arrow Lake wird das alles nutzen im 2HJ24. *)
Intel 18A führt das Ganze dann noch einen Schritt weiter und bereits mit dem Prozess wird man TSMC in 2025 voraus sein und das absehbar noch ohne die Verwendung von High-NA.
Lunar Lake ist nach aktuellem Stand für diese Klientel hier relativ uninteressant, da das ein Lakefield auf Steroiden sein wird, also ein Ultra Low Power Design.
Bis auf Battlemage ist alles andere dann wieder schon so weit weg, dass sich da aktuell kaum ein Nachdenken drüber lohnt zumal sich da noch viel ändern kann. Und zu Battlemage gibt es aktuell nichts wirklich Handfestes zu berichten, jedoch ist das auch nicht notwendig. Man kann jetzt schon gesichert annnehmen, dass Intel auch mit dem Design nicht vorhaben wird im kostspieligen HighEnd-Bereich mitzumischen im Vergleich zu dem, was dann verfügbar sein oder noch kommen wird, also RDNA4 und Ada-NextGen. Man darf vermuten, dass die bezogen auf absolute Leistung vielleicht in 2024/25 relativ gesehen noch etwas höher einsteigen werden, aber die werden das Volumengeschäft im Auge haben. Ansonsten kann man nicht viel dazu sagen, außer, dass es vielleicht ganz interessant sein wird zu sehen, welchen Fokus Intel setzen wird, sprich ob man nVidia mit Blick auf Raytracing und AI folgen wird. **)
Und das
... scheint man erst 2026 wieder voll dabei zu sein ...
ist ebenso mindestens sehr ungenau bis hin zu falsch. Das ist aber insgesamt schon recht weit in der Zukunft (zumindest für die externe Berichterstattung, denn natürlich arbeitet man dort schon längst an diesen Produkten). Beispielsweise für den Server Diamond Rapids (2026), den Nachfolger von Granite Rapis (2024), spricht Intel gar von "unquestionable leadership", d. h. hier will man nicht mal im Ansatz "nur dabei sein". ;-)
Bezüglich dem, was hier in diesem Forum relevant sein dürfte, also dem Consumer-Markt, dürfte es bereits schon im 2HJ24 spannend ***) werden, denn Arrow Lake soll bereits einen sehr großen Sprung machen, der es, bezogen auf das, was man von Zen5 weiß, diesem es wohl mindestens ermöglichen wird ein vollwertiger Konkurrent zu werden ... ggf. gar mehr.


*) Bzgl. ARL so zumindest gesichert auf der mobilen Plattform. Zum Desktop gibt es Aussagen, dass für das Compute Tile anstelle von 20A TSMCs N3(E?) genutzt werden wird. Das kann wieder diverse Gründe haben, einserseits technische, weil 20A erst im 2HJ24 produktionsreif sein wird, wahrscheinlicher dürfte jedoch sein, dass zum einen erst mal überhaupt die Kapazitäten langsam hochgefahren werden und zum zweiten, dass Intel umfangreiche 3 nm-Kontingente bei TSMC gebucht hat, diese aufgrund der schlechten Marktlage in einem gewissen Rahmen schieben kann/konnte, diese jedoch schlussendlich auch nutzen muss, weil TSMC das vertraglich zugesicherte Geld nicht einfach aus reiner Nettigkeit abschreiben wird.
Wenn für den Desktop der TSMC-Prozess zum Einsatz kommt, werden auf dem Desktop absehbar PowerVia und GAA fehlen, weil TSMC hier entwicklungstechnisch bereits leicht zurückzufallen beginnt. Bzgl. Zen5 und ARL wird Intel dennoch mit der morderneren Fertigung und einem fortschrittlicheren Packaging aufwarten können.

**) D. h. wenn die Consumer-GPU-Sparte fortgeführt und nicht wieder auf iGPUs eingedampft wird um Kosten zu sparen. Bestärkende Erklärungen gab es in den letzten 12 Monaten diesbezüglich zwar so einige, aber wenn man sich ansieht wie viel da bei Intel in Bewegung ist, kann man sich da nicht sicher sein ... wobei das Schade wäre, denn bzgl. der Untersützung von ARC haben die sich mächtig und erfolgreich ins Zeug gelegt.

***) Wobei "spannend" bzgl. CPUs ein relativer Begriff es, da es für Consumer/Gamer schon jetzt so viel Leistung von beiden Herstellern gibt und das Problem viel mehr die mangelnde softwareseitige Unterstüzung ist und (natürlicherweise) dass der Großteil des Workloads auf Seiten der GPU liegt, d. h. die Weiterentwicklung der GPUs ist hier wesentiche ausschlaggebender.
 
Die Daten sind fehlerhaft.
Intel 20A implementiert PowerVia alias Backside Power Delivery und GAA, also das, was du als "Nanosheets" bezeichnest, d. h. bereits Arrow Lake wird das alles nutzen im 2HJ24. *)
ACHSO?
Danke!
Ich war immer der Meinung Nanosheets/GAA kommt erst mit 18A, gemeinsam mit der High-NA Fertigung.
Dass man PowerVia "vorgezogen" hat (ich dachte auch das kommt mit 18A), war mir bereits bekannt
Hmm dann ist 20A deutlich fortschrittlicher, als ich angenommen habe. Dann verblüffen die Performance/Effizienzprognosen von Arrow Lake. Dass die IPC kaum steigt war mir bekannt.
Den Rest kann ich erst später kommentieren leider, meine jüngste ist grad aufgewacht und braucht eine Streicheleinheit
 
Intel 18A führt das Ganze dann noch einen Schritt weiter und bereits mit dem Prozess wird man TSMC in 2025 voraus sein und das absehbar noch ohne die Verwendung von High-NA.
ja voraussichtlich -
aber 2025 wird wohl erst die Produktion gestartet, 2026 erst Produkte (das war gemeint mit 2026 worauf du dich weiter unten beziehst).
Und: der Prozess muss dann halt auch wirklich von Anfang an gut laufen, nicht so wie damals der 10nm Prozess, der erst in der ~4. Variante gut lief.
Lunar Lake ist nach aktuellem Stand für diese Klientel hier relativ uninteressant, da das ein Lakefield auf Steroiden sein wird, also ein Ultra Low Power Design.
Ich bin aktuell des öfteren in meiner Arbeit mit einem Surface-Go unterwegs.
Die einzigen Dinge die mich stören sind dann letzlich die Leistung in manchen Situation (reagiert träge), die Speicherbestückung (es gibt einen MicroSD Slot den ich als 2. Platte besetze, aber das ist keine gute Lösung) und die Anschlüsse (1x USB-C...)
Bis auf Battlemage ist alles andere dann wieder schon so weit weg, dass sich da aktuell kaum ein Nachdenken drüber lohnt zumal sich da noch viel ändern kann.
Ja das ist klar. Aber wenn du 18A erwähnst, wo ich erst in 2026 mit Produkten von Intel rechne (und wie gesagt, vielleicht wird er auch erst 2027-8 bei einer Überarbeitung "gut", hätte ich hier schon noch Celestial eingeworfen, die GPU Generation wo in meinen Augen erst wirklich ersichtlich ist ob man bei Intel was gelernt hat aus den vergangenen Jahren. Auch ob man diese GPU Gen dann selbst produziert, wenn man dann im Zeitplan liegen sollte und tatsächlich den besten Prozess zu haben scheint.
Und zu Battlemage gibt es aktuell nichts wirklich Handfestes zu berichten, jedoch ist das auch nicht notwendig. Man kann jetzt schon gesichert annnehmen, dass Intel auch mit dem Design nicht vorhaben wird im kostspieligen HighEnd-Bereich mitzumischen im Vergleich zu dem, was dann verfügbar sein oder noch kommen wird, also RDNA4 und Ada-NextGen. Man darf vermuten, dass die bezogen auf absolute Leistung vielleicht in 2024/25 relativ gesehen noch etwas höher einsteigen werden, aber die werden das Volumengeschäft im Auge haben. Ansonsten kann man nicht viel dazu sagen, außer, dass es vielleicht ganz interessant sein wird zu sehen, welchen Fokus Intel setzen wird, sprich ob man nVidia mit Blick auf Raytracing und AI folgen wird. **)
Und das
Mal sehen, wird spannend. Beim stark verspäteten ARC hat man ja was RT Features/Fähigkeiten der RT Einheiten betrifft eigentlich schon einen guten Eindruck hinterlassen. Wenn man so weiter macht wär das schon ganz ok :)
Es muss für mich ja auch nicht Highend werden, aber eine Alternative zu den xx60 und 70 Karten zu einem brauchbaren Preis wär schon gut.
ist ebenso mindestens sehr ungenau bis hin zu falsch. Das ist aber insgesamt schon recht weit in der Zukunft (zumindest für die externe Berichterstattung, denn natürlich arbeitet man dort schon längst an diesen Produkten). Beispielsweise für den Server Diamond Rapids (2026), den Nachfolger von Granite Rapis (2024), spricht Intel gar von "unquestionable leadership", d. h. hier will man nicht mal im Ansatz "nur dabei sein". ;-)
:D wird spannend, was dabei rumkommt. Muss dann ja entweder ein enorm guter Prozess sein oder ein riesen Schritt vorwärts bei der µArch.
Bezüglich dem, was hier in diesem Forum relevant sein dürfte, also dem Consumer-Markt, dürfte es bereits schon im 2HJ24 spannend ***) werden, denn Arrow Lake soll bereits einen sehr großen Sprung machen, der es, bezogen auf das, was man von Zen5 weiß, diesem es wohl mindestens ermöglichen wird ein vollwertiger Konkurrent zu werden ... ggf. gar mehr.
Mal sehen. Da man bei Arrow Lake ja auf der Architektur von Meteor Lake aufbaut sehen wir ja hoffentlich bald wie viel IPC bei dieser dazu kommt. Wenn dann die Taktraten passen - bei sinnvollem Verbrauch - kann es schon sein
*) Bzgl. ARL so zumindest gesichert auf der mobilen Plattform. Zum Desktop gibt es Aussagen, dass für das Compute Tile anstelle von 20A TSMCs N3(E?) genutzt werden wird. Das kann wieder diverse Gründe haben, einserseits technische, weil 20A erst im 2HJ24 produktionsreif sein wird, wahrscheinlicher dürfte jedoch sein, dass zum einen erst mal überhaupt die Kapazitäten langsam hochgefahren werden und zum zweiten, dass Intel umfangreiche 3 nm-Kontingente bei TSMC gebucht hat, diese aufgrund der schlechten Marktlage in einem gewissen Rahmen schieben kann/konnte, diese jedoch schlussendlich auch nutzen muss, weil TSMC das vertraglich zugesicherte Geld nicht einfach aus reiner Nettigkeit abschreiben wird.
Wenn für den Desktop der TSMC-Prozess zum Einsatz kommt, werden auf dem Desktop absehbar PowerVia und GAA fehlen, weil TSMC hier entwicklungstechnisch bereits leicht zurückzufallen beginnt. Bzgl. Zen5 und ARL wird Intel dennoch mit der morderneren Fertigung und einem fortschrittlicheren Packaging aufwarten können.
Sind wir mal gespannt ob das auch in der Praxis dann einen Nutzen hat (Performance, Effizienz...). Gerade die Effizienz wär mir wichtig, will keine CPU die sich bei Bedarf 200+W gönnt (wobei sie bei Spielen eh sparsamer sind natürlich, und ja, ein T-Modell wär auch interessant, aber besser wäre es, wenn die ganze Produktlinie wieder weniger schluckt), bin hier etwas verwöhnt.
**) D. h. wenn die Consumer-GPU-Sparte fortgeführt und nicht wieder auf iGPUs eingedampft wird um Kosten zu sparen. Bestärkende Erklärungen gab es in den letzten 12 Monaten diesbezüglich zwar so einige, aber wenn man sich ansieht wie viel da bei Intel in Bewegung ist, kann man sich da nicht sicher sein ... wobei das Schade wäre, denn bzgl. der Untersützung von ARC haben die sich mächtig und erfolgreich ins Zeug gelegt.
Sehe ich auch so. Zumindest 1-2 voll entwickelte Generationen hätte ich noch gern gesehen. Es gäbe ja diverse positive Effekte auch für Intel, wenn die (GPU) Architekturen mit hochdruck weiterentwickelt würden, schließlich kann man sie ja in abgewandelter Form in anderen Bereichen einsetzen (sei es für Custom APUs etwa in Autos, oder für AI...)
***) Wobei "spannend" bzgl. CPUs ein relativer Begriff es, da es für Consumer/Gamer schon jetzt so viel Leistung von beiden Herstellern gibt und das Problem viel mehr die mangelnde softwareseitige Unterstüzung ist und (natürlicherweise) dass der Großteil des Workloads auf Seiten der GPU liegt, d. h. die Weiterentwicklung der GPUs ist hier wesentiche ausschlaggebender.
Ja, ich benutze den PC halt auch für andere Dinge, er rennt bei mir eigentlich den ganzen Tag. Arbeiten, spielen, was entwickeln, was emulieren, encoden... und da wär mir halt wichtig, dass noch mehr Leistung kommt, vor allem zu geringeren Verbräuchen.
So bietet AMD gerade in vielen Belangen das bessere Paket. Fürs Spielen der 7800X3D, für andere Zwecke noch der 7950X3D...
 
Immer gern. PowerVia hat man nicht vorgezogen, dass sollte grundsätzlich schon mit Intel 20A eingeführt werden.
Ebenso stand GAA alias RibbonFET bei Intel genannt grundsätztlich für 20A an.
Intel 18A wird übrigens nicht zusammen mit High-NA eingeführt werden. Intel bekommt zwar anscheinend die ersten Geräte dieser Art überhaupt, jedoch hat hier ASML beträchtliche Fertigungs-/Lieferprobleme und man wollte sich davon unabhängig aufstellen. *) 18A wird zuerst noch mit bisherigem 0,33 NA implementiert und High-NA soll erst in einer späteren Prozessiteration inkludiert werden.

Die Arrow Lake-Prognosen verblüffen nur, wenn man den Kontext nicht berücksichtigt. Bspw. MLiD hält an seinen Prognosen gemäß seiner Quellen fest, d. h. bis zu 40 % mehr ST-Leistung und bis zu 40 % mehr MT-Leistung ggü. Raptor Lake, also ein immenser Sprung, wohlgemerkt bei einem kerntechnisch vergleichbaren Design.
Das was hier in den bekannten Slides umging sollte frühes A0-Silizium sein und als solches auch noch mit geringem Takt und das schlägt in den Slides RPL jetzt bereits in diesem frühen Zustand MT-technisch um bis zu 20%, was also bereits die beträchtlichen Zugewinne vorab skizziert.
Zudem sind irgendwelche nur kleinen Zugewinne auch grundlegend vollkommen abwegig, weil es sich architektornisch hierbei um die erste Implementation des Royal Core-Projektes handelt, dass die Architektur weitreichen überarbeitet und dazu kommen dann noch ganze drei Node-Schritte von RPL mit Intel 7 -> Intel 4 -> Intel 3 -> Intel 20A. Selbst wenn man hier bspw. Intel 3/4 zusammenfasst, ist es dennoch vollkommen abwegig, dass da keine größeren Zugewinne bei rauskommen. ;-)

*) Ein zwingend notwendiger Schritt, nicht nur für Intel selbst sondern auch deren IFS, den gerade den Intel 18A-Prozess schauen sich sehr viele Firmen an. Hier durch ASML in Verzug zu geraten wäre nicht hinnehmbar, entsprechend hat man umdisponiert.
Zum Vergleich, TSMC implementiert GAA-Transistoren erst mit deren N2 in 2025 und Backside Power Delivery wird gar erst mit dem N2P in 2026 kommen.


Nachtrag zu deinem 2. Posting:

Intel erklärte schon mehrfach, dass sie gut im Plan liegen und 18A sollte durchaus für ein Produkt genutzt werden können, verfügbar im 2HJ25, wenn Intel da was für bspw. Consumer vorgeshen sollte. Für bspw. 2026 steht der Server Diamond Rapids an der gesichert min. die erste Iteration von 18A nutzen wird und zu dem Intel weiterhin sehr selbstbewusst eine "unquetionable leadership" erklärt. Details zum Design kennt man noch nicht, alleine fertigungstechnisch wird das aber höchstwahrscheinlich zutreffen, da TSMC zu dem Zeitpunkt noch nicht so weit sein wird.

Zum "prozesstechnisch gut laufen" ... das ist ja immer so und trifft TSMC ebenso, die Jahre lang Intel hinterhergerannt sind und nicht mal mit ihrem 10nm-Node mit Intels 14nm mithalten konnten (eine Aussage von TSMCs damaligem CTO) und auch jetzt haben sie hier und da immer mal Schwierigkeiten und auch bei Samsung sieht man, dass das alles kein Zuckerschlecken ist. Abwarten ... Intel 3 und Intel 20A scheinen derzeit jedenfalls on-track zu sein, bei der ersten 18A-Implementation noch ohne High-NA einfach mal abwarten, wobei hier aber noch zusätzlicher Druck durch die Foundry-Kunden besteht und bspw. nVidia's CEO kommentierte ja bereits kürzlich, dass die Entwicklung dort sehr gut aussieht.

Surface Go & Co .. ja, da wird in den nächsten 12 - 24 Monaten viel Bewegung drin sein. Bereits MTL dürfte vom Gesamtdesign bzgl. der Effizienz schon eher an einer Zen5-APU wie Strix dran sein, die AMD dann auch im teueren 3nm fertigen lassen muss (während der Desktop noch in 4nm gefertigt wird) um mithalten zu können und die mobilen ARL's zum Jahresende in 20A werden da noch mal einiges drauflegen.
Ob Intel bei der Größe/Gesamtleistung der iGPU mitzieht muss man abwarten (nochmals größer und leistungsfähiger als MTL wird es mit ARL gesichert), aber ob man wirklich auch eine grafiktechnische HighEnd-APU anbieten wollen wird, bleibt abzuwarten ob des zumindest relativ gesehen kleinen Marktes für Intel.

Wie gesagt, bzgl. Battlemage weiß man noch weniger und bei Celestial, wenn es denn noch erscheinen wird, bisher gar nichts. Durch die Roadmap-Umstellung sind alle spärlichen Vorabinfos ungültig geworden, so dass Celestial eigentlich hätte 2024 erscheinen sollen und man sich hier architektonisch auf Augenhöhe mit der Kokurrenz gesehen hätte.
Die Bewertung, ob sie GPU-technisch auf einem guten Weg sind, wird man schon problemlos anhand Battlemage treffen können. Bis zu welchem Marktsegment sie dann abliefern werden hat erst mal nichts mit deren Entwicklungsleistung zu tun, sondern ist eine rein wirtschaftliche Frage.
Darüber hinaus, Intel wird gesichert über mehere Jahre zusammen mit/über TSMC fertigen. Die haben ein umfangreiches Agreement und Intel bekommt von TSMC gar komplett eigene Fertigungsstätten, das ist also keine Eintagsfliege. Man sichert sich hier ab, nachvollziehbar und zudem muss man berücksichtigen, dass die beträchtlichen Entwicklungsschritte in deren eigener Roadmap auch unentwegte Um-/Nachrüstungen der eigenen Fertigung nach sich ziehen, was grundsätzlich einen eigenen Teil der Kapazitäten stillegt. Beispielsweise könnte es durchaus sein, dass auch noch ein Celestial weiterhin von TSMC gefertigt werden wird, weil es für Intel einfach vorteilhafter ist. Zudem muss man bzgl. der IFS ebenso berücksichtigen, dass wenn man vielleicht einen lukrativen Kunden gewinnt, man vielleicht die Flexibilität braucht einige eigene Kontingente verlagern zu können um diesem/n Kunden entsprechende Zusagen machen zu können.

Ja, ein 7800X3D klingt grundsätzlich nach einer guten Wahl, wobei aber auch der für den Markt insgesamt keinesfalls ein no brainer ist, denn 400+ € ist schon eine Menge Geld und wie man an aktuellen Statistiken sieht sind 8 Kerne immer noch kein Mainstream und entsprechend legen ja auch Entwickler/Publisher ihre Specs aus. Als Enthusiast schaut man hier vielfach mit einem gehörigen Bias auf dem Markt. ;-)
 
Zuletzt bearbeitet:
Die Arrow Lake-Prognosen verblüffen nur, wenn man den Kontext nicht berücksichtigt. Bspw. MLiD hält an seinen Prognosen gemäß seiner Quellen fest, d. h. bis zu 40 % mehr ST-Leistung und bis zu 40 % mehr MT-Leistung ggü. Raptor Lake, also ein immenser Sprung,
wobei MLID ist ein absoluter Schwätzer. Er scheint oft irgendwas komplett zu erfinden, und gerade Performanceprognosen oder Hardwaredaten waren oft komplett falsch.
wohlgemerkt bei einem kerntechnisch vergleichbaren Design.
Meinst du damit die Kernkonfiguration? Also 8+8 oder so, oder meinst du die Architektur. Wie dem auch sei, was von MLID kommt bewahrheitet sich oft nicht, also lieber vorsichtig sein.
Das was hier in den bekannten Slides umging sollte frühes A0-Silizium sein und als solches auch noch mit geringem Takt und das schlägt in den Slides RPL jetzt bereits in diesem frühen Zustand MT-technisch um bis zu 20%, was also bereits die beträchtlichen Zugewinne vorab skizziert.
Zudem sind irgendwelche nur kleinen Zugewinne auch grundlegend vollkommen abwegig, weil es sich architektornisch hierbei um die erste Implementation des Royal Core-Projektes handelt,
Hmm das hatte ich dann falsch in Erinnerung, dachte Royal Core kommt erst mit Nova Lake.
Hast du dazu Quellen? Generell zu den Arch-Änderungen bei Arrow Lake, ich ging bislang um ein überarbeitetes Meteor Lake Design aus, in halt deutlich besserem Prozess.
dass die Architektur weitreichen überarbeitet und dazu kommen dann noch ganze drei Node-Schritte von RPL mit Intel 7 -> Intel 4 -> Intel 3 -> Intel 20A. Selbst wenn man hier bspw. Intel 3/4 zusammenfasst, ist es dennoch vollkommen abwegig, dass da keine größeren Zugewinne bei rauskommen.
Wollen wirs hoffen, Intel 4 scheint ja mal noch nicht so prickelnd zu laufen, Intel 3 kommt ja nur im Server-Bereich zum Einsatz.
Mal sehen was 20A hinkriegt :)
*) Ein zwingend notwendiger Schritt, nicht nur für Intel selbst sondern auch deren IFS, den gerade den Intel 18A-Prozess schauen sich sehr viele Firmen an. Hier durch ASML in Verzug zu geraten wäre nicht hinnehmbar, entsprechend hat man umdisponiert.
Gut, man hat aber auch mal probiert den ursprünglichen 7nm Prozess (ich nehme mal an, das ist das, was jetzt als Intel 3 geplant ist) ohne EUV umzusetzen
Zum Vergleich, TSMC implementiert GAA-Transistoren erst mit deren N2 in 2025 und Backside Power Delivery wird gar erst mit dem N2P in 2026 kommen.
Was aber dann heißt, dass man 2026 wieder nahe beisammen liegt: beide hätten GAA, Backside Power Delivery (Intels PowerVia) und vergleichbare Prozessgrößen. Sofern keine Verzögerungen bei Intel kommen, hätte Intel 2026 dann die ersten Produkte damit draußen, TSMC wohl auch Ende 2026 wieder mit Apple wenn es so läuft wie bislang


Edit: hab jetzt nochmal einen 2 Jahre alten Bericht gefunden zum Thema, scheinbar hatte ichs wirklich falsch in Erinnerung - einige Details haben sich aber bereits verschoben:
 
Zuletzt bearbeitet:
Abschließend zu den Prozessen:
Das was Intel mal als Nachfolger der "10nm" (1274 und 1274.7 und 1274.12 ab 2019+) als "7nm" (1276) bezeichnete ist/sind heute Intel 4 und Intel 3 und war grundsätzlich auf eine umfangreiche EUV-Nutzung ausgelegt. Hier gab es keine Planung ohne EUV, ginge auch rein technisch gar nicht, weil man die Logikdichte ohne eine Vielzahl an EUV-Lagen gar nicht hinbekommt. Bereits in 2019/20 schätzte man in Fachkreisen die Logikdichte von deren "7nm" als deutlich höher ein als die von TSMCs 5 nm und entsprechend dürfte Intels marketingtechnische Prozessnamenanpassung mit Intel 4 und Intel 3 auch durchaus passend gewählt sein.
Bzgl. dem vermeintlichem Gleichstand um 2026 herum noch einmal gem. aktueller Roadmaps: TSMC wird GAA mit BPD erst in 2026 fertig habe, was gar eine echte Nutzung erst für 2027 nahelegt. Vielleicht schafft es ein Marktteilnehmer das noch im 2HJ26 zu nutzen, aber das wars auch schon.
Intel implementiert GAA mit BPD bereits Ende 2024, d. h. die haben dann gar noch 2 Jahre Zeit für weitere Optimierungen und die nächstgrößere steht bereits für 2025 mit der 18A-Einführung an.
Für einen Hersteller wie AMD bedeutet das dann, dass die nicht vor 2027 mit entsprechender Technik aufwarten können werden, weil man bspw. gegen die ersten großen Buchungen von TSMCs Hauptkunden Apple nicht ankommen wird.

Letzten Endes aber etwas vom Thema abgekommen ... die Planung und Entwicklung scheint bei Intel so langsam wieder in die Spur zu kommen, bleibt nun abzuwarten ob die Ausführung erfolgreich sein wird ... und natürlich, wie immer, was die Konkurrenz dem entgegenzusetzen haben wird.

*) Der Vollständigkeit halber, das heutige Intel 3 (in 2024) war in der Vergangenheit ggf. stellenweise mal als "5nm" bei Intel im Gespräch, so genau kann man das aber nicht sagen, da das zu der damaligen Zeit weit in der Zukunft lag und die Informationen entsprechend ungenau waren und es hierzu widersprüchliche Informationen gab, so bspw. dass diese vermeintlichen "5nm" stellenweise in Verbindung mit Nanosheets gebracht wurden, also das, von dem man heute weiß, dass es als Intel 20A mit GAA- alias RibbonFET-Transistoren kommen wird (und was offensichtlich jetzt schon gefertigt wird, da erstes Arrow Lake-Silizium offensichtlich bereits in den Labors ist).
Zudem ergänzend, dass sich Intel bzgl. Intel 4 nicht übermäßig "lang machen" wird, da Intel 4 nur der Einführungs-/Zwischenschritt hin zu Intel 3 ist. Für ersteren Prozess hat man sich nicht einmal die Mühe gemacht HighDensity-Libs etc zu entwickeln, weil hier letzten Endes Intel 3 immer das eigentliche Endziel war, d. h. selbst wenn es relevante Probleme mit Intel 4 geben mag, wird man sich damit nicht lange aufhalten. Und bspw. zu Intel 3 erklärte der CEO nun explizit: "Intel 3 met defect density and performance milestones in Q2, released PDK 1.1, and is on track for overall yield and performance targets". Insgesamt soll Intel 3 durchaus einen signifikanten Entwicklungsschritt ggü. Intel 4 darstellen.

**) Ergänzend zu deinem "Edit" von Anandtech, ja, die ist schon älter. Schaut man aber genau hin, hat sich gar nicht so viel verschoben. Der wesentliche Punkt war hier die "7nm"-Verschiebung, die dann Intel 4 und daranhängend Intel 3 geschoben hat, jedoch nur um etwa 1/2 Jahr, da Intel bereits zum Jahreswechsel erklärte dass Intel 4 fertig und in Produktion sei. Intel 18A soll übrigens noch in 2024, also zum Jahresende hin in die Risk Production überführt werden.
Darüber hinaus sind Verschiebungen in dem Bereich aber auch nichts ungewöhnliches. Auch TSMC hatte hier schon etliche, mit Yield-Problemen zu kämpfen und dacht bspw. vormals, dass sie GAAs schon bei 3nm einsetzen würden. Mal abwarten.
Beispielsweise sollte Intel bereits im 2HJ25 ein Mobile-Design auf 18A rausbringen, dürfte das AMD bspw. in dem Segment ernsthafte Kopfschmerzen bereiten, da man hier zu der Zeit bestenfalls nur auf einem optimierten 3nm-Node fertigen können wird (oder man stellt sich doch etwas breiter auf und schaut sich an was Samsung zu bieten hat um die Gap zu verkleinern?).
 
Zuletzt bearbeitet:
`Was ist denn jetzt eigentlich mit TSMC als Fertigungspartner für Intel Desktop CPUs? Der ranzige Intel5 Prozess scheint ja immer noch abzustinken von der Effizienz her.
 
`Was ist denn jetzt eigentlich mit TSMC als Fertigungspartner für Intel Desktop CPUs? Der ranzige Intel5 Prozess scheint ja immer noch abzustinken von der Effizienz her.
was soll denn Intel 5 sein?
Meinst du Intel 4 oder den früheren 5nm Prozess (daa wär dann jetzt wohl der 20A oder 18A, müsste msn nachschauen
Abschließend zu den Prozessen:
Das was Intel mal als Nachfolger der "10nm" (1274 und 1274.7 und 1274.12 ab 2019+) als "7nm" (1276) bezeichnete ist/sind heute Intel 4 und Intel 3 und war grundsätzlich auf eine umfangreiche EUV-Nutzung ausgelegt. Hier gab es keine Planung ohne EUV, ginge auch rein technisch gar nicht, weil man die Logikdichte ohne eine Vielzahl an EUV-Lagen gar nicht hinbekommt. Bereits in 2019/20 schätzte man in Fachkreisen die Logikdichte von deren "7nm" als deutlich höher ein als die von TSMCs 5 nm und entsprechend dürfte Intels marketingtechnische Prozessnamenanpassung mit Intel 4 und Intel 3 auch durchaus passend gewählt sein.
es könnte natürlich sein, dass ich moch erneut irre, aber ich bin mir ziemluch sicher ca 2012-14 etwa Folien gesehen zu haben wo man bei 7nm kein EUV benutzen wollte, was letztlich auch der Grund ist, warum Intel erst spät quf diesen Zug aufgesprungen ist
 
es könnte natürlich sein, dass [...]
Voraussichtlich ja, bzw. hier fehlt dann ggf. wieder der Kontext. Die Hersteller hatten hier jahrelange Entwicklungsprobleme und die EUV-Einführung verzögerte sich massiv. Erst steig Canon aus der Entwicklung aus, dann Nikon und schließlich blieb nur ASML übrig, die "nicht aus dem Quark kamen".
Anfang 2003 wurde Intels P.Silverman, damals Head of Technology and Manufacturing Group, zitiert mit "We would like to get EUV for 2007, but we don't expect it”. Hierbei ging es um den damaligen 32nm-Prozess, dessen Design Rules in 2007 hätten festgezurrt werden sollen.
Rund ein Jahr später, Anfang 2004, zitierte die EETimes die International Technology Roadmap for Semiconductors, die EUV nun nicht vor 2013 in der Produktion sah.
Ende 2011 erklärte ASMLs damaliger CEO noch vollmundig, dass man bzgl. EUV (nun endlich) für 2013/14 on-track sei. *)
Intel hatte sich derweil für seinen 14nm-Prozess in 2011 für 193 nm entschieden, weil EUV immer noch nicht verfügbar war (bzw. nicht production ready).
Für den 10nm-Prozess wiederholte sich das Spiel dann ein weiteres Mal und bereits Anfang 2011 (Intel setzte/hoffte zu der Zeit immer noch auf ASML und Nikon bzgl. EUV) zitierte man Intels Sam Sivakumar, Director of Lithography mit "EUV is late for 10-nm design rule definition" und man überlegte weiterhin 193 nm für die unkritischeren Lagen zu verwenden und EUV nur für wenige, ausgewählte Lagen. Im 1HJ13 wurden die 10nm-Design Rules schlussendlich festgezurrt, zwangsweise ohne die Verwendung von EUV.

*) Dass daraus nichts wurde, weiß man heute, denn die ersten Kunden-Tape-Outs erfolgten erst in 3Q18 und der erste EUV-Prozess in Form von TSMCs N7+ wurde überhaupt erst Ende 2Q19 in die Volumenproduktion überführt.
(Samsung konnte etwa im gleichen zeitlichen Rahmen seinen 7LPE (später als 7LPP) einführen, nutzte den anfänglich jedoch nur für sich selbst, so bspw. der Exynos 9825, ein Shrink des in 8LPP gefertigten Exynos 9820. Eine spätere, populärere Nutzung dürfte 7LPP bspw. für IBMs POWER10 sein, der jedoch erst in 2021 erschien.)

**) Bei TSMC und Globalfoundries verlief die Entwicklung ähnlich unstetig. TSMC sah sich im Laufe der Jahre mehrfach die E-Beam Technologie als Alternative zu EUV an und investierte hier beträchtlich. In 2014/15 waren dann erste Multi-Beam-Geräte verfügbar aber die technischen Probleme waren immer noch weit davon entfernt gelöst zu sein und derweil stiegen einige Hersteller aus der E-Beam-Entwicklung aus. Zuletzt, noch im Jahr 2017, evaluierte TSMC E-Beam erneut mit einem 110-Strahlen-System der Mapper Lithography BV. Am Ende setzte sich dann doch EUV durch.
Beispielsweise GFs damaliger Senior Vice President of Technology and R&D erklärte noch Mitte 2010 vollmundig, dass man Pre-Production-EUV-Scanner übergehen und dann ab 2014/15 mit EUV in der Massenfertigung arbeiten werde. Auch hier kam es gänzlich anders. GF wollte schließlich 10nm überspringen und direkt bei 7nm einsteigen, jedoch kündigte man Mitte 2018 schließlich die 7nm-Entwicklung komplett auf und zog sich auf Prozessspezialisierungen zurück und verlor den Anschluss an die technologische Spitze, vermutlich weil hier der Hauptinvestor die immensen Investitionen scheute.

`Was ist denn jetzt eigentlich mit TSMC als Fertigungspartner für Intel Desktop CPUs? Der ranzige Intel5 Prozess scheint ja immer noch abzustinken von der Effizienz her.
Einen Prozess mit einer "5" im Namen gibt es bei Intel nicht und bzgl. der Effizienz scheinst du mehr zu wissen also jede andere Publikation oder wie kommst du auf die Idee mit derartigen Adjektiven und Verben um dich zu werfen? Ich gehe mal davon aus, dass das hier nicht nur rumgetrolle sondern (auch?) eine ernstgemeinte Frage sein soll ...

Bzgl. TSMC dürfte hier in diesem Kontext Arrow Lake relevant sein, denn zu Meteor Lake wird es keinen Deskop geben, sondern dieses Segment wird mit dem günstiger zu fertigenden Raptor Lake-Refresh bedient werden.
Für Arrow Lake wird aktuell kolportiert, dass das Compute Tile mit den Rechnenkernen von TSMC gefertigt werden. Der Unterschied ist hier, dass Intel für den mobilen ARL sein modernstes Intel 20A mit GAA und PowerVia nutzen wird und für ARL-S TSMCs N3, eher gar noch den neueren und kosteneffizienteren N3E.
Zum für Meteor Lake genutzten Intel 4 lässt sich noch nicht allzu viel sagen, nur spekulieren. Bezüglich der Effizienz geht man von einem sehr konkurrenzfähigen Design aus. Zum Takt kann man ebensowenig etwas Verlässliches sagen, aber zumindest spekulieren, dass der Prozess als erste Iteration für den Desktop mit sehr hohem Takt ggf. noch nicht geeignet ist, letzten Endes aber auch ein hausgemachtes Problem, da man Intel 7 schon auf 6,0/6,2 GHz hochgepusht hat. MTL soll 5 GHz Boost-Takt erreichen, AMDs kommende 7040er-Serie taktet auch nur bestenfalls bis 5,2 GHz.

Man wird abwarten müssen, aber es dauert ja nicht mehr lange, Ende September bzw. Oktober werden für einen Release gehandelt. jedoch wenn man nicht gerade nach einem Laptop schaut, wird das nicht viel helfen. Man kann vielleicht versuchen abzuschätzen wie gut sie für zukünftige Produkte das disagrregierte Design im Griff haben, wie sich Foveros und EMIB schlagen, was der LP-E-Kern im SoC-Tile bringt, jedoch für Projektionen wir das nicht allzu viel nutzen, da bei ARL wiederum nahezu alles neu ist. Neuer Intel 20A bzw. N3(E)-Prozess, neue, umfangreich überarbeitete Mikroarchitetur, neue GPU-Architektur und mehr Ausführungseinheiten, ggf. effizientere Iterationen von Foveros und/oder EMIB und der Adamatine-L4$-Cache, der voraussichtlich noch nicht mit MTL eingeführt werden wird.

In diesem Jahr kann man vereinfachend pauschal auf Zen4/AM5 verweisen ohne nennenswert was falsch zu machen, auch wenn die leistungsfähigsten CPUs im 2HJ23 absehbar von Intel kommen werden (dafür aber auch bekanntermaßen weiterhin gut "schlucken werden").
Im nächsten Jahr dagegen werden die Karten komplett neu gemischt werden, da ein wesentlicher Eckpfeiler von AMDs Erfolgrezept wegfallen wird, nämlich ihr fertigungstechnischer Vorsprung durch den Einkauf des moderneren Nodes bei TSMC. Wenn sich die bisher abzeichnede Entwiclkung bewahrheiten sollte, wird Intel auf dem Desktop den moderneren Fertigungsprozess nutzen, da man hier allem Anschein nach einen 3 nm-TSMC-Prozess nutzen wird, während AMD nur einen 4 nm-Prozess nutzen wird (3 nm werden nur für das Zen5c-Die genutzt werden und für einige APUs). Im Mobile-Segment, wird AMD teilweise auch 3 nm nutzen, Intels 20A wird jedoch konkurrenzfähig sein, ggf. wird Backside Power Delivery gar das Zünglein an der Waage sein oder aber der 20A ist gar grundlegend dem TSMC-Prozess leicht voraus, so zumindest bzgl. Leistung/Watt? Man wird abwarten, was das bzgl. der Konkurrenzfähigkeit mit AMD machen wird, jedoch im 2HJ24 wird es dann tatsächlich mal wieder bei CPUs spannend ... wobei wenn man sich für das technische Kopf-an-Kopf-Rennen interessiert. Als normaler Konsument findet man jetzt schon leistungsfähige Produkte "im Überfluss" und kommt erst unter spezifischen Lastszenarien an echte Grenzen bzw. für Gamer ist die GPU typischerweise der limitierende Faktor.
 
Es nicht nur AMD die ihnen zu schaffen machen. Auch die ganzen ARM Server drücken warscheinlich immer mehr auf den Markt. Bzw die Big Player wie Amazon usw bauen teilweise auch ihre eigenden CPUs.

Amazon war einer der ersten IDF-2.0-Kunden. Damals (2021) wurde zwar nur Packaging offiziell bestätigt, aber die feineren Prozesse ab Intel 4 dürften zumindest evaluiert worden sein. Von daher sollte diese Entwicklung weniger zu Lasten Intels gehen. Ein großer ARM-Durchmarsch zeichnet sich auch nicht ab, zumal die bisherigen Many-Cores vor allem auf Anwendungsgebiete zählen, in denen AMD schon länger sehr stark ist. Wer da immer noch auf Intel setzt, hat vermutlich seine (ggf. irrationalen) Gründe.

Dass Xeon & Co schwächeln, wird vielmehr drei andere Gründe haben:
- Immer noch im Tal befindlicher Markt
- KI und Verlagerung zu Nvidia
- AMD Genoa(-X)
Die wirkliche Dramatik wird aber erst klar wenn man bedenkt, dass mit dem jetzt abgeschlossenen Sapphire Rapids im letzten Quartal zu erstem Mal seit langem ein aus Intel-Sicht "aktuelles" Portfolio am Markt war und eines von dessen Special-Features sollen auch noch Co-Prozessoren und Befehlssätze für KI zu sein. Intel befindet sich also eigentlich gerade auf einem persönlichen Höhepunkt (und wird dem gegenüber bis weit nach 2024 rein vermutlich nichts nachlegen können), verliert aber trotzdem ganz klar gegen die Konkurrenz.

Schauen wir mal was
20A bringt - Produkte (Lunar, Arrow Lake) 2024
18A, PowerVia und Nanosheets, die Partnerschaft mit Ericsson-2026?
Darüber hinaus: wie gut wird Lunar/Nova usw Lake, wie gut Battlemage (2024), Celestial (2026?)
Was die (technischen) Entwicklungen betrifft scheint man erst 2026 wieder voll dabei zu sein, sofern alles im Plan liegt

Letztere Satz ist wohl der wichtigste Punkt. "Im Plan" war Intel zuletzt vor allem wegen ständiger aktualisierungen desselbigen; ich würde alles >2 Jahre in der Zukunft mit sehr viel Vorsicht interpretieren. Vor dem Alder-Lake-Launch hieß es, Meteor Lake wäre "one year" dahinter. Mittlerweile sind es mobile zwei Jahre und im Desktop kommt er (vorerst) gar nicht mehr, Arrow Lake als (besserer) Stellvertreter erst nach drei Jahren – oder sagen wir besser "one year" nach RPL-R (alias ADL++) und hoffen, dass es nicht wieder Marsjahre sind.

*) Der Vollständigkeit halber, das heutige Intel 3 (in 2024) war in der Vergangenheit ggf. stellenweise mal als "5nm" bei Intel im Gespräch, so genau kann man das aber nicht sagen, da das zu der damaligen Zeit weit in der Zukunft lag und die Informationen entsprechend ungenau waren und es hierzu widersprüchliche Informationen gab, so bspw. dass diese vermeintlichen "5nm" stellenweise in Verbindung mit Nanosheets gebracht wurden, also das, von dem man heute weiß, dass es als Intel 20A mit GAA- alias RibbonFET-Transistoren kommen wird (und was offensichtlich jetzt schon gefertigt wird, da erstes Arrow Lake-Silizium offensichtlich bereits in den Labors ist).

Bis zum großen Rebrand hat Intel als einziger Hersteller die alten, vereinheitlichten Namenschemata fortgeführt. Das heißt 10 nm => 7 nm => 5 nm => 3 nm => 2 nm kann man als gesichert zugrunde legen, auch wenn sie jenseits von 7 nm nicht wirklich darüber gesprochen haben. Zumindest bauen alle Erwartungen von Ottonormalverbrauchern auf dieser Kette; das ist also der Maßstab für die gewürfelten "Intel ?"-Bezeichnungen (oder auch für TSMCs ebenso frei vermarktete "N?"). Nachdem Intel 2021 bestätigt hat, dass "3" ein Fullnode wird und "20A" und "18A" noch viel geileren Fortschritt bringen sollen, kann man das 1:1 zuordnen:
Intel 7 (10 nm Klasse) => Intel 4 (7 nm Klasse) => Intel 3 (5 nm Klasse) => Intel 20A (3 nm Klasse) => Intel 18A (2 nm Klasse).
TSMC entsprechend "N7"/"N6" (EUV-10-nm-Prozesse) => "N5"/"N4" (7 nm + Halfnode) => "N3" (5 nm Klasse). Bei TSMC "N2" bin ich mir gerade nicht sicher, ob er als Fullnode (= 3-nm-Prozess = Intel-20A-Konkurrent) oder als verfeinerter "N3" (= 5-nm-Prozess = Intel-3-Konkurrent) eingestuft werden sollte. TSMC spuckt ja auch immer große Töne im Vorlauf, aber die Lücke ist diesmal groß und "N3" hat auch ungewohnt viele, klar verbesserte Ableitungen unter alter Ziffer erhalten. Also tippe ich mal auf 3-nm-Klasse für "N2" und danch müssen wir mal abwarten.
 
Zurück